Patents by Inventor Kangguo Cheng

Kangguo Cheng has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240038867
    Abstract: A microelectronic structure comprises a first stacked device structure comprising a first upper device and a first lower device, a second stacked device structure comprising a second upper device and a second lower device, and an isolation pillar structure located between the first and second stacked device structures. The isolation pillar structure has an upper section contacting the first and second upper devices and a lower section contacting the first and second lower devices. The upper section of the isolation pillar structure has a first width and the lower section of the isolation pillar structure has a second width different than the first width.
    Type: Application
    Filed: July 29, 2022
    Publication date: February 1, 2024
    Inventors: Ruilong Xie, Julien Frougier, Kangguo Cheng, Chanro Park, Min Gyu Sung
  • Publication number: 20240038594
    Abstract: FinFET devices and processes to prevent fin or gate collapse (e.g., flopover) in finFET devices are provided. The method includes forming a first set of trenches in a semiconductor material and filling the first set of trenches with insulator material. The method further includes forming a second set of trenches in the semiconductor material, alternating with the first set of trenches that are filled. The second set of trenches form semiconductor structures which have a dimension of fin structures. The method further includes filling the second set of trenches with insulator material. The method further includes recessing the insulator material within the first set of trenches and the second set of trenches to form the fin structures.
    Type: Application
    Filed: February 28, 2023
    Publication date: February 1, 2024
    Inventors: Veeraraghavan S. Basker, Kangguo Cheng, Theodorus E. Standaert, Junli Wang
  • Patent number: 11887643
    Abstract: A magnetic shielding structure for protecting an MRAM array from adverse switching effects due to external magnetic fields of neighboring devices is provided. The magnetic shielding structure includes a bottom magnetic shield material-containing layer and a top magnetic shield material-containing layer within the MRAM array. The bottom and top magnetic shield material-containing layers can be connected by a vertical magnetic shield containing-material layer that is located near each end of the bottom and top magnetic shield material-containing layers. The bottom magnetic shield material-containing layer is located beneath a MTJ pillar of each MRAM device, but above, bottom electrically conductive structures that are in electrical contact with the MRAM devices. The top magnetic shield material-containing layer is located above the MRAM devices, and is located laterally adjacent to, but not above or below, top electrically conductive structures that are also in electrical contact with the MRAM devices.
    Type: Grant
    Filed: August 2, 2021
    Date of Patent: January 30, 2024
    Assignee: International Business Machines Corporation
    Inventors: Julien Frougier, Dimitri Houssameddine, Kangguo Cheng, Ruilong Xie
  • Patent number: 11882772
    Abstract: A memory cell and formation thereof. The memory cell including: a first dielectric material having a via; a dielectric spacer on a sidewall of the via, and a second dielectric material pinching off the via and forming a seam.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: January 23, 2024
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Chanro Park, Julien Frougier, Ruilong Xie
  • Patent number: 11882695
    Abstract: A vertical field effect transistor (FET) includes a vertical semiconductor channel having a first end that contacts an upper surface of a substrate and an opposing second end that contacts a source/drain region. An electrically conductive gate encapsulates the vertical semiconductor channel. The vertical FET further includes a split-channel antifuse device between the source/drain region and the electrically conductive gate. The split-channel antifuse device includes a gate dielectric having a thickness that varies between the source/drain region and the electrically conductive gate.
    Type: Grant
    Filed: September 9, 2021
    Date of Patent: January 23, 2024
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kangguo Cheng, Juntao Li, Geng Wang, Qintao Zhang
  • Publication number: 20240021609
    Abstract: Embodiments of present invention provide a transistor structure. The transistor structure includes a first vertical fin of a first vertical transistor, the first vertical fin having a first and a second sidewall and a first and a second vertical end; a first bottom source/drain (S/D) region underneath the first vertical fin, wherein the first bottom S/D region having an edge that vertically aligns with the first vertical end of the first vertical fin; and a first gate stack surrounding the first vertical fin, wherein the first bottom S/D region horizontally extends beyond the first vertical fin, except at the edge of the first bottom S/D region that vertically aligns with the first vertical end of the first vertical fin, to have at least a portion vertically underneath the first gate stack. A method of manufacturing the transistor structure is also provided.
    Type: Application
    Filed: July 15, 2022
    Publication date: January 18, 2024
    Inventors: Ruilong Xie, Hemanth Jagannathan, Kangguo Cheng, Juntao Li
  • Publication number: 20240021733
    Abstract: A stacked semiconductor device includes stacked transistors. A lower transistor may be a p-type FinFET and an upper transistor vertically above the lower transistor may be a n-type nanostructure FET. The lower transistor may include a fin channel with a (110) orientated crystalline side surface. End surfaces of the fin channel contact a respective lower source/drain (S/D) region. The (110) orientated crystalline side surface may contact a lower gate structure. The upper transistor includes a diamond-shaped nano channel with a (111) orientated crystalline perimeter surface. End surfaces of the diamond-shaped nano channel may contact a respective upper S/D region. An upper gate structure may wrap around and contact the (111) orientated crystalline perimeter surface. An electrical isolation structure may separate the upper transistor from the lower transistor.
    Type: Application
    Filed: July 18, 2022
    Publication date: January 18, 2024
    Inventors: Kangguo Cheng, Julien Frougier, Ruilong Xie, Chanro Park
  • Patent number: 11876114
    Abstract: A semiconductor device includes a gate structure that is formed upon and around a channel fin. The device further includes a source or drain (S/D) region connected to the fin. A spacer liner is located upon a sidewall of the S/D region facing the gate structure. An air-gap spacer is located between the gate structure and the spacer liner. A spacer ear is located above the air-gap spacer between the gate structure and the spacer liner. The spacer ear may be formed by initially forming an inner spacer upon a sidewall of the gate structure and forming an outer spacer upon the inner spacer. The outer spacer may be recessed below the inner spacer and the spacer ear may be formed upon the recessed outer spacer. Subsequently, the inner spacer and outer spacer may be removed to form the air-gap spacer while retaining the spacer ear.
    Type: Grant
    Filed: September 7, 2021
    Date of Patent: January 16, 2024
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Kangguo Cheng, Julien Frougier, Chanro Park
  • Patent number: 11877524
    Abstract: Methods of forming a settable resistance device, settable resistance devices, and neuromorphic computing devices include isotropically etching a stack of layers, the stack of layers having an insulator layer in contact with a conductor layer, to selectively form divots in exposed sidewalls of the conductor layer. The stack of layers is isotropically etched to selectively form divots in exposed sidewalls of the insulator layer, thereby forming a tip at an interface between the insulator layer and the conductor layer. A dielectric layer is formed over the stack of layers to cover the tip. An electrode is formed over the dielectric layer, such that the dielectric layer is between the electrode and the tip.
    Type: Grant
    Filed: September 8, 2021
    Date of Patent: January 16, 2024
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Juntao Li, Kangguo Cheng, Dexin Kong, Zheng Xu
  • Patent number: 11876136
    Abstract: Embodiments of the invention are directed to a semiconductor device structure that includes a first channel region over a substrate, a second channel region over the first channel region, and a merged source or drain (S/D) region over the substrate and adjacent to the first channel region and the second channel region. The merged S/D region is communicatively coupled to the first channel region and the second channel region. A wrap-around S/D contact is configured such that it is on a top surface, sidewalls, and a bottom surface of the merged S/D region.
    Type: Grant
    Filed: February 1, 2022
    Date of Patent: January 16, 2024
    Assignee: International Business Machine Corporation
    Inventors: Yi Song, Praveen Joseph, Andrew Greene, Kangguo Cheng
  • Patent number: 11876117
    Abstract: A field effect transistor includes a gate structure formed adjacent to a source/drain region, and a spacer structure formed between the gate structure and the source/drain region. The spacer structure includes a top spacer and a bottom spacer, the top spacer includes an airgap having a bottom portion that is wider than a top portion. The wider bottom portion of the airgap is located between the gate structure and the source/drain region.
    Type: Grant
    Filed: October 18, 2021
    Date of Patent: January 16, 2024
    Assignee: International Business Machines Corporation
    Inventor: Kangguo Cheng
  • Publication number: 20240014208
    Abstract: Embodiments of present invention provide a method of forming a transistor structure. The method includes forming a set of vertical fins on top of a substrate; forming a conformal spacer lining the set of vertical fins and the substrate; forming sidewall spacers next to vertical portions of the conformal spacer; removing portions of the conformal spacer on top of the substrate and between the sidewall spacers; indenting the conformal spacer vertically between the sidewall spacers and the substrate to create openings; forming bottom spacers in the openings; and forming a shallow-trench-isolation (STI) structure between the bottom spacers. A structure formed thereby is also provided.
    Type: Application
    Filed: July 5, 2022
    Publication date: January 11, 2024
    Inventors: Ruilong Xie, Hemanth Jagannathan, Jay William Strane, Kangguo Cheng
  • Publication number: 20240014264
    Abstract: Embodiments of present invention provide a semiconductor structure. The semiconductor structure includes a first transistor, a second transistor, and a third transistor separated by their respective source/drain regions; and a diffusion break between the second transistor and the third transistor, wherein a first distance between a center of a gate of the first transistor and a center of a gate of the second transistor is more than half of a second distance between the center of the gate of the second transistor and a center of a gate of the third transistor. A method of manufacturing the semiconductor structure is also provided.
    Type: Application
    Filed: July 8, 2022
    Publication date: January 11, 2024
    Inventors: Ruilong Xie, CHANRO PARK, Kangguo Cheng, Julien Frougier, Min Gyu Sung
  • Patent number: 11869561
    Abstract: A cross-point SOT-MRAM cell includes: a first SHE write line; a second SHE write line non-colinear to the first SHE write line; a cross-point free layer comprising a first free layer, a second free layer, and a dielectric layer disposed between the first and the second free layers, the cross-point free layer configured to store a magnetic bit and located between and in contact with both the first SHE write line and the second SHE write line; and a remote sensing MTJ located in a vicinity of the cross-point free layer, wherein a free layer sensor of the remote sensing MTJ is in contact with one of the first SHE write line and the second SHE write line.
    Type: Grant
    Filed: September 23, 2021
    Date of Patent: January 9, 2024
    Assignee: International Business Machines Corporation
    Inventors: Julien Frougier, Dimitri Houssameddine, Ruilong Xie, Kangguo Cheng, Michael Rizzolo
  • Publication number: 20240006496
    Abstract: A semiconductor structure includes a plurality of semiconductor layers vertically stacked over a semiconductor substrate. Each of the plurality of semiconductor layers defining a channel region of the semiconductor structure. A source/drain region is located on opposite ends of the plurality of semiconductor layers while a metal gate stack surrounds each of the plurality of semiconductor layers. An inner spacer having a concave surface curving inward in a direction towards the source/drain region is located between each of the plurality of semiconductor layers for separating the metal gate stack from the source/drain region.
    Type: Application
    Filed: June 30, 2022
    Publication date: January 4, 2024
    Inventors: Shogo Mochizuki, Juntao Li, Kangguo Cheng
  • Publication number: 20240006245
    Abstract: Semiconductor devices, and methods of forming the same, include forming a stack of channel layers, including an upper device region and a lower device region. The upper device region is separated from the lower device region by a dielectric spacer layer. A first work function metal layer is formed on the channel layers in the lower device region. A height of the first work function metal layer does not rise above the dielectric spacer layer. A second work function metal layer is formed on the channel layers in the upper device region.
    Type: Application
    Filed: September 13, 2023
    Publication date: January 4, 2024
    Inventors: Ruilong Xie, Chen Zhang, Kangguo Cheng, Juntao Li
  • Publication number: 20240006502
    Abstract: A semiconductor structure that includes a channel region comprising vertically stacked channels of at least two III-V semiconductor material layers having a two dimensional electron gas regions at an interface of the at least two III-V semiconductor material layers; a gate all around (GAA) geometry gate structure present on the channel region; and source and drain regions on opposing sides of the channel region.
    Type: Application
    Filed: July 1, 2022
    Publication date: January 4, 2024
    Inventors: Julien Frougier, Kangguo Cheng, Ruilong Xie, Juntao Li, Chanro Park, Oleg Gluschenkov
  • Publication number: 20230420457
    Abstract: Embodiments of the invention include a single stack dual channel gate-all-around nanosheet with strained PFET and bottom dielectric isolation NFET. A PFET comprising at least one silicon germanium channel is formed. An NFET comprising at least one silicon channel is formed, the PFET being positioned laterally to the NFET, the at least one silicon channel and the at least one silicon germanium channel being staggered in a vertical direction.
    Type: Application
    Filed: June 23, 2022
    Publication date: December 28, 2023
    Inventors: Julien Frougier, Andrew M. Greene, Shogo Mochizuki, Kangguo Cheng, Ruilong Xie, Heng Wu, Min Gyu Sung, Liqiao Qin, Gen Tsutsui
  • Publication number: 20230420500
    Abstract: A semiconductor structure is presented including source/drain (S/D) epitaxial growth formed over a bottom dielectric isolation region, at least one first semiconductor layer disposed within the S/D epitaxial growth in a S/D region and at least one second semiconductor layer disposed partially within a gate region. The at least one second semiconductor layer extends from the gate region into a spacer region to enable a connection to the S/D epitaxial growth. The semiconductor structure further includes a first region with adjacent devices exhibiting a first Contacted gate Poly Pitch (CPP) defining a first gate-to-gate space and a second region with adjacent devices exhibiting a second CPP defining a second gate-to-gate space, where adjacent devices exhibiting the first CPP have a smaller gate-to-gate canyon than the adjacent devices exhibiting the second CPP such that the second gate-to-gate space is greater than the first gate-to-gate space.
    Type: Application
    Filed: June 27, 2022
    Publication date: December 28, 2023
    Inventors: Julien Frougier, Ruilong Xie, Kangguo Cheng, CHANRO PARK, Oleg Gluschenkov
  • Publication number: 20230420530
    Abstract: A semiconductor structure includes a common substrate; a first forksheet complementary metal oxide semiconductor (CMOS) device that is located on the common substrate and that has an nFET (n-doped Field Effect Transistor) and a pFET (p-doped Field Effect Transistor) and has a first ? (effective width ratio) between the nFET and the pFET; and a second forksheet device that is adjacent to the first forksheet device on the common substrate and that has a second ? between a second nFET and a second pFET. The second ? is different than the first ? by at least 5 percent. Another semiconductor structure includes a common substrate; a forksheet complementary metal oxide semiconductor (CMOS) device that is located on the common substrate; and a gate-all-around (GAA) nanosheet CMOS device that is located on the common substrate and is adjacent to the forksheet device.
    Type: Application
    Filed: June 25, 2022
    Publication date: December 28, 2023
    Inventors: Ruilong Xie, REINALDO VEGA, Julien Frougier, Kangguo Cheng