Patents by Inventor Ruilong Xie

Ruilong Xie has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240164089
    Abstract: Embodiments of the present invention are directed to processing methods and resulting structures having backside programmable memory cells. In a non-limiting embodiment, a front end of line structure having a plurality of programmable cells is formed such that each programmable cell includes a backside via in direct contact with a device region of the respective cell. A first portion of the backside vias defines one or more placeholder backside vias and a second portion defines one or more programmed backside vias. A back end of line structure (word line) is formed on a first surface of the front end of line structure. A backside structure is formed on a second surface of the front end of line structure opposite the first surface. The backside structure includes a backside metallization layer (bit line) in direct contact with the one or more programmed backside vias.
    Type: Application
    Filed: November 10, 2022
    Publication date: May 16, 2024
    Inventors: Albert M. Chu, Junli Wang, Albert M. Young, Brent A. Anderson, Ruilong Xie, Carl Radens
  • Publication number: 20240162152
    Abstract: One or more systems, devices and/or methods of use provided herein relate to an airgap spacer for power via. The semiconductor device can comprise a power bar wired to a backside power rail, wherein the power bar is located between a first gate of a first field effect transistor (FET) and a second gate of a second FET at least a first airgap between the power bar and at least a portion of the first gate of the first FET and a second airgap between the power bar and at least a portion of the second gate of the second FET, wherein the at least the first airgap and the second airgap maintain parasitic capacitance in the semiconductor device below a threshold.
    Type: Application
    Filed: November 14, 2022
    Publication date: May 16, 2024
    Inventors: Ruilong Xie, Lawrence A. Clevenger, Nicholas Anthony Lanzillo, Kisik Choi, Huai Huang
  • Publication number: 20240162319
    Abstract: Embodiments of the invention include a stacked device having a first epitaxial region and a second epitaxial region vertically displaced from the first epitaxial region. The first epitaxial region comprising an asymmetric profile with a horizontal protrusion.
    Type: Application
    Filed: November 14, 2022
    Publication date: May 16, 2024
    Inventors: Su Chen Fan, Albert M. Young, Ruilong Xie, Prabudhya Roy Chowdhury, Jay William Strane
  • Publication number: 20240162229
    Abstract: A microelectronic structure including a first stacked FET device that includes a first bottom FET device and a first upper FET device. The first bottom FET device include a plurality of first bottom channel layers, and the first upper FET device includes a plurality of first upper channel layers. A bottom gate that surrounds the plurality of first bottom channel layers and an upper gate that surrounds the plurality of first upper channel layers. A gate protrusion that extends downwards from the backside of the upper gate to connected to the bottom gate. The gate protrusion partially overlaps with a bottom gate cut region of the first bottom stacked FET device, and the gate protrusion partially overlaps with an upper gate cut region of the first upper stacked FET device.
    Type: Application
    Filed: November 10, 2022
    Publication date: May 16, 2024
    Inventors: Ruilong Xie, Chen Zhang, Albert M. Young, Brent A. Anderson, Kisik Choi, Junli Wang
  • Publication number: 20240162231
    Abstract: Embodiments of the present invention are directed to processing methods and resulting structures for integrated circuits having backside programmable gate arrays. In a non-limiting embodiment, a front end of line structure having an array of transistors is formed such that each transistor of the array of transistors includes one or more placeholder backside vias. A first portion of the backside vias defines one or more placeholder backside vias and a second portion of the one or more backside vias defines one or more programmed backside vias. A back end of line structure is formed on a first surface of the front end of line structure. A backside structure is formed on a second surface of the front end of line structure opposite the first surface. The backside structure includes a backside metallization layer in direct contact with the one or more programmed backside vias.
    Type: Application
    Filed: November 10, 2022
    Publication date: May 16, 2024
    Inventors: Albert M. Chu, Brent A. Anderson, Junli Wang, Albert M. Young, Ruilong Xie, Carl Radens
  • Patent number: 11984401
    Abstract: A semiconductor device including a hybrid contact scheme for stacked FET is disclosed with integration of a BSPDN. A double-sided (both frontside and backside of the wafer) contact scheme with buried power rail (BPR) and backside power distribution network (BSPDN) provides optimum contact and interconnect. The stacked FET could include, for example, FINFET over FINFET, FINFET over nanosheet, or nanosheet over nanosheet.
    Type: Grant
    Filed: June 22, 2021
    Date of Patent: May 14, 2024
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Junli Wang, Mukta Ghate Farooq, Dechao Guo
  • Publication number: 20240153990
    Abstract: A semiconductor device includes a nanostructure field effect transistor (FET). The FET includes a gate and a source or drain (S/D) region. The FET also includes a backside S/D contact connected to a top surface of the S/D region. The backside S/D contact includes a lateral portion upon the top surface of the S/D region. The lateral portion further laterally extends adjacent to or past the first S/D region. The backside S/D contact includes a vertical portion that extends vertically downward from the lateral portion below the bottom surface of the substrate layer. The FET also includes a backside S/D mushroom that extends vertically downward from the vertical portion.
    Type: Application
    Filed: November 9, 2022
    Publication date: May 9, 2024
    Inventors: Chanro Park, Ruilong Xie, Julien Frougier, Min Gyu Sung, Juntao Li
  • Publication number: 20240155822
    Abstract: A semiconductor memory cell comprising six vertical-transport field-effect transistors (VTFET) on a wafer. The six VTFET are in a first layer. The six VTFET are in a first row.
    Type: Application
    Filed: November 8, 2022
    Publication date: May 9, 2024
    Inventors: Brent A. Anderson, Ruilong Xie, Albert M. Chu, Carl Radens
  • Publication number: 20240153875
    Abstract: A semiconductor device includes a first source/drain element on a first side of the semiconductor device, a second source/drain element on an opposing side of the semiconductor device, a backside contact including a first contact end on a first end of the first source/drain element and an opposing contact end in electrical communication with a backside power distribution network, a critical dimension of the first contact end is smaller than the critical dimension of the opposing contact end, and the backside contact is substantially aligned to the first source/drain element. The semiconductor device also includes and a source/drain placeholder material with a critical dimension of a middle portion of the source/drain placeholder material being larger than the critical dimension of both tend portions.
    Type: Application
    Filed: November 9, 2022
    Publication date: May 9, 2024
    Inventors: Ruilong Xie, Koichi Motoyama, Chih-Chao Yang, Feng Liu
  • Publication number: 20240153866
    Abstract: An interconnect structure includes a first metallization layer, a second metallization layer, and a via metallization layer connecting the first metallization layer to the second metallization layer. The via metallization layer includes a metal via having a first portion extending in a first direction and a second portion extending from the first portion in a second direction different than the first direction.
    Type: Application
    Filed: November 7, 2022
    Publication date: May 9, 2024
    Inventors: Lawrence A. Clevenger, Brent A. Anderson, Albert M. Chu, Nicholas Anthony Lanzillo, Reinaldo Vega, Ruilong Xie
  • Publication number: 20240153951
    Abstract: A stacked field effect transistor (stacked-FET) device includes a first layer comprising at least one first layer transistor structure comprising a plurality of first layer terminals, a diffusion break dielectric fill region adjacent to one of the first layer terminals, a second layer overlying and adjacent to the first layer and comprising at least one second layer transistor structure comprising a plurality of second layer terminals, and a contact wiring between the first layer and the second layer passing through the diffusion break dielectric fill region of the first layer and connecting with one of the second layer terminals.
    Type: Application
    Filed: November 7, 2022
    Publication date: May 9, 2024
    Inventors: Ruilong Xie, Alexander Reznicek, Daniel Schmidt, Tsung-Sheng Kang
  • Publication number: 20240153867
    Abstract: A semiconductor structure is provided that includes a device layer and a non-perpendicular (or non-orthogonal) wiring layer that includes a skip-level via that connects this wiring level to the device layer. The skip-level via passes through another wiring layer that is positioned between the non-perpendicular wiring layer and the device layer, without physically contacting any metal lines that are present in this another wiring layer.
    Type: Application
    Filed: November 9, 2022
    Publication date: May 9, 2024
    Inventors: Nicholas Anthony Lanzillo, Albert M. Chu, REINALDO VEGA, Ruilong Xie, Lawrence A. Clevenger, Brent A. Anderson
  • Publication number: 20240153868
    Abstract: Embodiments of present invention provide an interconnect structure. The interconnect structure includes a first metal line in a first inter-level dielectric (ILD) layer; one or more second metal lines in a second ILD layer above the first metal line and above the first ILD layer; a third metal line in a third ILD layer above the one or more second metal lines and above the second ILD layer; and a skipvia connecting the third metal line with the first metal line, wherein the first, the one or more second, and the third metal lines are made of a first conductive material and the skipvia is made of a second conductive material, and the first conductive material is different from the second conductive material. A method of forming the above interconnect structure is also provided.
    Type: Application
    Filed: November 9, 2022
    Publication date: May 9, 2024
    Inventors: Koichi Motoyama, Oscar van der Straten, Ruilong Xie, Chih-Chao Yang
  • Publication number: 20240154009
    Abstract: A semiconductor structure includes a source/drain region having a backside surface disposed in a backside interlayer dielectric layer, a backside contact disposed in the backside interlayer dielectric layer, wherein the backside contact is disposed on the backside surface of the source/drain region, backside sidewall spacers disposed between sidewalls of the backside interlayer dielectric layer and sidewalls of the backside contact and the backside surface of the source drain region, and a backside power rail connected to the source/drain region through the backside contact.
    Type: Application
    Filed: November 8, 2022
    Publication date: May 9, 2024
    Inventors: Tao Li, Julien Frougier, Min Gyu Sung, Ruilong Xie
  • Publication number: 20240155826
    Abstract: A semiconductor structure is provided that includes a backside bitline connected to a dynamic random access memory (DRAM) cell that includes a plurality of field effect transistors (FETs) and a plurality of DRAM capacitors that are present in a frontside of the structure.
    Type: Application
    Filed: November 8, 2022
    Publication date: May 9, 2024
    Inventors: Min Gyu Sung, Julien Frougier, Ruilong Xie, Chanro Park
  • Patent number: 11978796
    Abstract: Monolithically stacked VTFET devices having source/drain contacts with increased contact area and dielectric isolation are provided. In one aspect, a stacked VTFET device includes: at least a bottom VTFET below a top VTFET, wherein the bottom VTFET and the top VTFET each includes source/drain regions interconnected by a vertical fin channel, and a gate stack alongside the vertical fin channel; and source/drain contacts to the source/drain regions, wherein at least one of the source/drain contacts is in direct contact with more than one surface of a given one of the source/drain regions. A stacked VTFET device having at least a bottom VTFET1 below a top VTFET1, and a bottom VTFET2 below a top VTFET2, and a method of forming a stacked VTFET device are also provided.
    Type: Grant
    Filed: December 8, 2021
    Date of Patent: May 7, 2024
    Assignee: International Business Machines Corporation
    Inventors: Chen Zhang, Ruilong Xie, Lan Yu, Kangguo Cheng
  • Publication number: 20240145376
    Abstract: Embodiments of present invention provide a semiconductor structure. The semiconductor structure includes a semiconductor chip having a frontside and a backside; a first metal level at the backside of the semiconductor chip; a second metal level above the first metal level; a plurality of damascene vias extending from the second metal level towards the first metal level; and a plurality of subtractive vias extending from the first metal level towards the second metal level, wherein the plurality of damascene vias and the plurality of subtractive vias are staggered to form an interdigitated comb-comb structure. A method of forming the semiconductor structure is also provided.
    Type: Application
    Filed: October 31, 2022
    Publication date: May 2, 2024
    Inventors: Rajiv Joshi, Nicholas Anthony Lanzillo, Ruilong Xie
  • Publication number: 20240145238
    Abstract: Embodiments of the invention include an isolation layer under a nanosheet stack of a transistor and a graded layer under the isolation layer. The graded layer includes an impurity gradient.
    Type: Application
    Filed: October 28, 2022
    Publication date: May 2, 2024
    Inventors: Reinaldo Vega, Shogo Mochizuki, Ruilong Xie, Julien Frougier, Ravikumar Ramachandran
  • Publication number: 20240145407
    Abstract: A deep-via structure includes at least one via-interfacing layer. The deep-via structure also includes a via. The via is embedded within the at least one via-interfacing layer. The via includes a conductive material. The deep-via structure also includes a stress-relief void that is formed within the conductive material of the via.
    Type: Application
    Filed: November 1, 2022
    Publication date: May 2, 2024
    Inventors: Julien Frougier, Ruilong Xie, Kangguo Cheng
  • Publication number: 20240145538
    Abstract: A semiconductor structure comprises a source/drain region, a spacer layer on a first side of the source/drain region, a contact on a top surface of the source/drain region, and a via connected to a portion of the contact at a second side of the source/drain region, the second side of the source/drain region being opposite the first side of the source/drain region.
    Type: Application
    Filed: October 26, 2022
    Publication date: May 2, 2024
    Inventors: Min Gyu Sung, Ruilong Xie, Chanro Park, Kangguo Cheng, Julien Frougier