Including Change In A Growth-influencing Parameter (e.g., Composition, Temperature, Concentration, Flow Rate) During Growth (e.g., Multilayer Or Junction Or Superlattice Growing) Patents (Class 117/89)
  • Patent number: 9875926
    Abstract: A method for fabricating a semiconductor device includes forming an opening in a first epitaxial lateral overgrowth region to expose a surface of the semiconductor substrate within the opening. The method further includes forming an insulation region at the exposed surface of the semiconductor substrate within the opening and filling the opening with a second semiconductor material to form a second epitaxial lateral overgrowth region using a lateral epitaxial growth process.
    Type: Grant
    Filed: November 29, 2015
    Date of Patent: January 23, 2018
    Assignee: Infineon Technologies AG
    Inventors: Iris Moder, Ingo Muri, Johannes Baumgartl, Oliver Hellmund, Manfred Engelhardt, Hans-Joachim Schulze
  • Patent number: 9777403
    Abstract: A single-crystal silicon carbide and a single-crystal silicon carbide wafer of good-quality are disclosed that are low in dislocations, micropipes and other crystal defects and enable high yield and high performance when applied to a device, wherein the ratio of doping element concentrations on opposite sides in the direction of crystal growth of the interface between the seed crystal and the grown crystal is 5 or less and the doping element concentration of the grown crystal in the vicinity of the seed crystal is 2×1019 cm?3 to 6×1020 cm?3.
    Type: Grant
    Filed: October 14, 2009
    Date of Patent: October 3, 2017
    Assignee: NIPPON STEEL & SUMITOMO METAL CORPORATION
    Inventors: Masashi Nakabayashi, Tatsuo Fujimoto, Masakazu Katsuno, Hiroshi Tsuge
  • Patent number: 9570296
    Abstract: A method of forming a semiconducting material includes depositing a graded buffer on a substrate to form a graded layer of an indium (In) containing III-V material, the In containing III-V material being indium-gallium-arsenic (InGaAs) or indium-aluminum-arsenic (InAlAs) and comprising In in an increasing atomic gradient up to 35 atomic % (at. %) based on total atomic weight of InGa or InAl; and forming a layer of InGaAs on the graded layer, the layer of InGaAs comprising about 25 to about 100 at. % In based on total atomic weight of InGa.
    Type: Grant
    Filed: June 19, 2015
    Date of Patent: February 14, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Cheng-Wei Cheng, Devendra K. Sadana, Kuen-Ting Shiu, Yanning Sun
  • Patent number: 9552983
    Abstract: A manufacturing method for a semiconductor device, including: loading a wafer into a reaction chamber; placing the wafer on a push-up shaft moved up; preheating the wafer under controlling an in-plane temperature distribution of the wafer to be a recess state under a state of placing the wafer on the push-up shaft moved up; lowering the push-up shaft with the wafer kept in the recess state to hold the wafer on a wafer holding member; heating the wafer to a predetermined temperature; rotating the wafer; and supplying a process gas onto the wafer.
    Type: Grant
    Filed: April 28, 2015
    Date of Patent: January 24, 2017
    Assignee: NuFlare Technology, Inc.
    Inventors: Hironobu Hirata, Masayoshi Yajima, Yoshikazu Moriyama
  • Patent number: 9548218
    Abstract: There is disclosed a method of preserving the integrity of a growth substrate in a epitaxial lift-off method, the method comprising providing a structure comprising a growth substrate, one or more protective layers, a sacrificial layer, and at least one epilayer, wherein the sacrificial layer and the one or more protective layers are positioned between the growth substrate and the at least one epilayer; releasing the at least one epilayer by etching the sacrificial layer with an etchant; and heat treating the growth substrate and/or at least one of the protective layers.
    Type: Grant
    Filed: February 7, 2013
    Date of Patent: January 17, 2017
    Assignee: THE REGENTS OF THE UNIVERSITY OF MICHIGAN
    Inventors: Kyusang Lee, Jeramy Zimmerman, Stephen R. Forrest
  • Patent number: 9508550
    Abstract: A method of forming a semiconducting material includes depositing a graded buffer on a substrate to form a graded layer of an indium (In) containing III-V material, the In containing III-V material being indium-gallium-arsenic (InGaAs) or indium-aluminum-arsenic (InAlAs) and comprising In in an increasing atomic gradient up to 35 atomic % (at. %) based on total atomic weight of InGa or InAl; and forming a layer of InGaAs on the graded layer, the layer of InGaAs comprising about 25 to about 100 at. % In based on total atomic weight of InGa.
    Type: Grant
    Filed: April 28, 2015
    Date of Patent: November 29, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Cheng-Wei Cheng, Devendra K. Sadana, Keun-Ting Shiu, Yanning Sun
  • Patent number: 9484490
    Abstract: An epitaxy substrate (11, 12, 13) for a nitride compound semiconductor material is specified, which has a nucleation layer (2) directly on a substrate (1) wherein the nucleation layer (2) has at least one first layer (21) composed of AlON with a column structure. A method for producing an epitaxy substrate and an optoelectronic semiconductor chip comprising an epitaxy substrate are furthermore specified.
    Type: Grant
    Filed: April 24, 2013
    Date of Patent: November 1, 2016
    Assignee: OSRAM OPTO SEMICONDUCTORS GMBH
    Inventors: Joachim Hertkorn, Alexander Frey, Christian Schmid
  • Patent number: 9425249
    Abstract: A method of producing semiconductor materials and devices that incorporate the semiconductor materials are provided. In particular, a method is provided of producing a semiconductor material, such as a III-V semiconductor, on a silicon substrate using a compliant buffer layer, and devices such as photovoltaic cells that incorporate the semiconductor materials. The compliant buffer material and semiconductor materials may be deposited using coincident site lattice-matching epitaxy, resulting in a close degree of lattice matching between the substrate material and deposited material for a wide variety of material compositions. The coincident site lattice matching epitaxial process, as well as the use of a ductile buffer material, reduce the internal stresses and associated crystal defects within the deposited semiconductor materials fabricated using the disclosed method.
    Type: Grant
    Filed: December 1, 2010
    Date of Patent: August 23, 2016
    Assignee: Alliance for Sustainable Energy, LLC
    Inventor: Andrew Norman
  • Patent number: 9406514
    Abstract: The present invention provides a Group III nitride semiconductor light-emitting device having a low drive voltage and a production method therefor. A p-type semiconductor layer formation step comprises a p-type cladding layer formation step of forming a p-side superlattice layer on a light-emitting layer by supplying a first raw material gas containing at least a Group III element and a dopant gas, a p-type intermediate layer formation step of forming a p-type intermediate layer on the p-side superlattice layer by supplying a first raw material gas and a dopant gas, a dopant gas supply step of supplying the dopant gas while stopping the supply of the first raw material gas after the p-type intermediate layer formation step, and a p-type contact layer formation step of forming a p-type contact layer on the p-type intermediate layer by supplying a first raw material gas and a dopant gas after the dopant gas supply step.
    Type: Grant
    Filed: July 15, 2015
    Date of Patent: August 2, 2016
    Assignee: TOYODA GOSEI CO., LTD.
    Inventor: Koji Okuno
  • Patent number: 9385013
    Abstract: Provided is a technique including forming a film by performing a cycle a predetermined number of times. The cycle includes: (a) forming a discontinuous first layer including the first element and having a thickness of less than one atomic layer on the substrate by supplying a gas containing the first element into a process vessel accommodating the substrate; and (b) forming a second layer including the first element and the second element by supplying a gas containing the second element into the process vessel to modify the first layer under a condition where a modifying reaction of the first layer by the gas containing the second element is not saturated.
    Type: Grant
    Filed: February 1, 2016
    Date of Patent: July 5, 2016
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yushin Takasawa, Hajime Karasawa, Yoshiro Hirose
  • Patent number: 9368670
    Abstract: Disclosed herein are embodiments of methods for making GaAs thin films, such as photovoltaic GaAs thin films. The methods disclosed herein utilize sources, precursors, and reagents that do not produce (or require) toxic gas and that are readily available and relatively low in cost. In some embodiments, the methods are readily scalable for industrial applications and can provide GaAs thin films having properties that are at least comparable to or potentially superior to GaAs films obtained from conventional methods.
    Type: Grant
    Filed: April 21, 2015
    Date of Patent: June 14, 2016
    Assignee: University of Oregon
    Inventors: Shannon Boettcher, Andrew Ritenour, Jason Boucher, Ann Greenaway
  • Patent number: 9343436
    Abstract: A stacked package includes a substrate, and a first structure bonded to the substrate. The first structure has a plurality of bumps, and a first hydrophilic coating is on sidewalls of the first structure. The stacked package further includes a second structure bonded to the plurality of bumps. The first hydrophilic coating is on sidewalls of the second structure. The first structure is between the second structure and the substrate. The stacked package further includes a housing, wherein the housing defines a volume enclosing the first structure and the second structure. A second hydrophilic coating is on sidewalls of an inner surface of the housing. The stacked package further includes a cooling fluid within the volume enclosing the first structure and the second structure. A top surface of the cooling fluid is above a top surface of the second structure.
    Type: Grant
    Filed: October 9, 2014
    Date of Patent: May 17, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yi-Li Hsiao, Li-Yen Lin, Chih-Hang Tung
  • Patent number: 9337375
    Abstract: The invention discloses a seed used for crystalline silicon ingot casting. A seed according to a preferred embodiment of the invention includes a crystal and an impurity diffusion-resistant layer. The crystal is constituted by at least one grain. The impurity diffusion-resistant layer is formed to overlay an outer surface of the crystal. A crystalline silicon ingot fabricated by use of the seed of the invention has significantly reduced red zone and yellow zone.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: May 10, 2016
    Assignee: Sino-American Silicon Products Inc.
    Inventors: Hung-Sheng Chou, Yu-Tsung Chiang, Yu-Min Yang, Ming-Kung Hsiao, Wen-Huai Yu, Sung-Lin Hsu, I-Ching Li, Chung-Wen Lan, Wen-Ching Hsu
  • Patent number: 9330902
    Abstract: A method for forming a HfOx film based on atomic layer deposition (ALD) process includes: providing a substrate; dividing a plurality of ALD cycles as needed into multiple depositing stages, wherein each of the ALD cycles includes applying HfCl4 pulse and applying H2O pulse over the substrate and a content ratio of HfCl4 to H2O is different and increasing for the depositing stages; and performing the depositing stages to form a HfOx film.
    Type: Grant
    Filed: June 4, 2015
    Date of Patent: May 3, 2016
    Assignee: United Microelectronics Corp.
    Inventors: Tsai-Yu Wen, Shih-Cheng Chen, Shan Ye, Tsuo-Wen Lu, Yu-Ren Wang
  • Patent number: 9305779
    Abstract: A method for growing germanium epitaxial films is disclosed. Initially, a silicon substrate is preconditioned with hydrogen gas. The temperature of the preconditioned silicon substrate is then decreased, and germane gas is flowed over the preconditioned silicon substrate to form an intrinsic germanium seed layer. Next, a mixture of germane and phosphine gases can be flowed over the intrinsic germanium seed layer to produce an n-doped germanium seed layer. Otherwise, a mixture of diborane and germane gases can be flowed over the intrinsic germanium seed layer to produce a p-doped germanium seed layer. At this point, a bulk germanium layer can be grown on top of the doped germanium seed layer.
    Type: Grant
    Filed: August 11, 2009
    Date of Patent: April 5, 2016
    Assignee: BAE Systems Information and Electronic Systems Integration Inc.
    Inventors: Daniel N. Carothers, Craig M. Hill, Andrew T. S. Pomerene, Vu A. Vu
  • Patent number: 9273413
    Abstract: Wafer carrier arranged to hold a plurality wafers and to inject a fill gas into gaps between the wafers and the wafer carrier for enhanced heat transfer and to promote uniform temperature of the wafers. The apparatus is arranged to vary the composition, flow rate, or both of the fill gas so as to counteract undesired patterns of temperature non-uniformity of the wafers. In various embodiments, the wafer carrier utilizes at least one plenum structure contained within the wafer carrier to source a plurality of weep holes for passing a fill gas into the wafer retention pockets of the wafer carrier. The plenum(s) promote the uniformity of the flow, thus providing efficient heat transfer and enhanced uniformity of wafer temperatures.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: March 1, 2016
    Assignee: Veeco Instruments Inc.
    Inventors: Sandeep Krishnan, Alexander I. Gurary, Keng Moy
  • Patent number: 9240449
    Abstract: A semiconductor device comprises a substrate and quantum dots, wherein a peak emission of the quantum dots has a FWHM of less than 20 meV when the semiconductor is measured at a temperature of 4 Kelvin.
    Type: Grant
    Filed: July 8, 2014
    Date of Patent: January 19, 2016
    Inventor: Yu-Chen Chang
  • Patent number: 9236271
    Abstract: A pulsed laser-initiated exfoliation method for patterning a Group III-nitride film on a growth substrate is provided. This method includes providing a Group III-nitride film a growth substrate, wherein a growth substrate/Group III-nitride film interface is present between the Group III-nitride film and the growth substrate. Next, a laser is selected that provides radiation at a wavelength at which the Group III-nitride film is transparent and the growth substrate is absorbing. The interface is then irradiated with pulsed laser radiation from the Group III-nitride film side of the growth substrate/Group III-nitride film interface to exfoliate a region of the Group III-nitride from the growth substrate. A method for transfer a Group-III nitride film from a growth substrate to a handle substrate is also provided.
    Type: Grant
    Filed: January 24, 2013
    Date of Patent: January 12, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Can Bayram, Stephen W. Bedell, Devendra K. Sadana, Katherine L. Saenger
  • Patent number: 9230847
    Abstract: Engineered substrates having thermally opaque materials for preventing transmission of radiative energy during epitaxial growth processes and for separating substrates from epitaxially grown semiconductor structures and associated systems and methods are disclosed herein. In several embodiments, for example, an engineered substrate can be manufactured by forming a thermally opaque material at an upper surface of a handle substrate and bonding an epitaxial formation structure on the handle substrate such that the thermally opaque material is between the epitaxial formation structure and the handle substrate. In various embodiments, the thermally opaque material at least partially blocks radiative heat transmission between the handle substrate and the epitaxial formation structure, for example, to provide increased accuracy of epitaxy process temperature measurements and/or increased uniformity of epitaxy growth characteristics across the engineered substrate.
    Type: Grant
    Filed: October 1, 2013
    Date of Patent: January 5, 2016
    Assignee: MICRON TECHNOLOGY, INC.
    Inventors: Joseph G. Coones, Jeremy S. Frei
  • Patent number: 9214630
    Abstract: Described herein is a method and precursor composition for depositing a multicomponent film. In one embodiment, the method and composition described herein is used to deposit a germanium-containing film such as Germanium Tellurium, Antimony Germanium, and Germanium Antimony Tellurium (GST) films via an atomic layer deposition (ALD) and/or other germanium, tellurium and selenium based metal compounds for phase change memory and photovoltaic devices. In this or other embodiments, the Ge precursor used comprises trichlorogermane.
    Type: Grant
    Filed: April 4, 2014
    Date of Patent: December 15, 2015
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Manchao Xiao, Iain Buchanan, Moo-Sung Kim, Sergei Vladimirovich Ivanov, Xinjian Lei, Cheol Seong Hwang, Taehong Gwon
  • Patent number: 9214393
    Abstract: A semiconductor structure that includes crystalline surfaces and amorphous hydrophilic surfaces is provided. The hydrophilic surfaces are treated with silane that includes a hydrophobic functional group, converting the hydrophilic surfaces to hydrophobic surfaces. Chemical vapor deposition or other suitable deposition methods are used to simultaneously deposit a material on both surfaces and due to the surface treatment, the deposited material exhibits superior adherence qualities on both surfaces. In one embodiment, the structure is an opening formed in a semiconductor substrate and bounded by at least one portion of a crystalline silicon surface and at least one portion of an amorphous silicon oxide structure.
    Type: Grant
    Filed: April 2, 2012
    Date of Patent: December 15, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Lai Wan Chong, Wen Chu Hsiao, Ying Min Chou, Hsiang Hsiang Ko
  • Patent number: 9190270
    Abstract: Provided are a low-defect semiconductor device and a method of manufacturing the same. The method includes forming a buffer layer on a silicon substrate, forming an interface control layer on the buffer layer under a first growth condition, and forming a nitride stack on the interface control layer under a second growth condition different from the first growth condition.
    Type: Grant
    Filed: June 3, 2014
    Date of Patent: November 17, 2015
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Young-jo Tak, Jae-kyun Kim, Joo-sung Kim, Jun-youn Kim, Young-soo Park, Eun-ha Lee
  • Patent number: 9178060
    Abstract: A MOS transistor includes a pair of impurity regions formed in a substrate as spaced apart from each other, and a gate electrode formed on a region of the substrate located between the pair of impurity regions. Each of the impurity regions is formed of a first epitaxial layer, a second epitaxial layer on the first epitaxial layer, and a third epitaxial layer on the second epitaxial layer. The first epitaxial layer is formed of at least one first sub-epitaxial layer and a respective second sub-epitaxial layer stacked on each first sub-epitaxial layer. An impurity concentration of the first sub-epitaxial layer is less than that of the second sub-epitaxial layer.
    Type: Grant
    Filed: December 8, 2014
    Date of Patent: November 3, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong Hyuk Kim, Hoi Sung Chung, Myungsun Kim, Dongsuk Shin
  • Patent number: 9123641
    Abstract: A method for transferring InP film onto a stiffener substrate, the method including: providing a structure comprising an InP surface layer and an underlying doped thin InP layer; implanting hydrogen ions through the surface layer so as to create a weakened plane in the doped thin layer, delimiting a film comprising the surface layer; placing the surface layer in close contact with a stiffener substrate; and applying heat treatment to obtain splitting at the weakened plane and transfer of the film onto the stiffener substrate.
    Type: Grant
    Filed: August 23, 2013
    Date of Patent: September 1, 2015
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventor: Aurelie Tauzin
  • Patent number: 9123538
    Abstract: Silicon nanocrystal inks and films, and methods of making and using silicon nanocrystal inks and films, are disclosed herein. In certain embodiments the nanocrystal inks and films include halide-terminated (e.g., chloride-terminated) and/or halide and hydrogen-terminated nanocrystals of silicon or alloys thereof. Silicon nanocrystal inks and films can be used, for example, to prepare semiconductor devices.
    Type: Grant
    Filed: April 25, 2013
    Date of Patent: September 1, 2015
    Assignee: REGENTS OF THE UNIVERSITY OF MINNESOTA
    Inventors: Lance Michael Wheeler, Uwe Richard Kortshagen
  • Patent number: 9117935
    Abstract: A diode and a method of making same has a cathode an anode and one or more semiconductor layers disposed between the cathode and the anode. A dielectric layer is disposed between at least one of the one or more semiconductor layers and at least one of the cathode or anode, the dielectric layer having one or more openings or trenches formed therein through which the at least one of said cathode or anode projects into the at least one of the one or more semiconductor layers, wherein a ratio of a total surface area of the one or more openings or trenches formed in the dielectric layer at the at least one of the one or more semiconductor layers to a total surface area of the dielectric layer at the at least one of the one or more semiconductor layers is no greater than 0.25.
    Type: Grant
    Filed: June 22, 2012
    Date of Patent: August 25, 2015
    Assignee: HRL Laboratories, LLC
    Inventor: Rongming Chu
  • Patent number: 9117763
    Abstract: Semiconductor device identification using quantum dot technology. A semiconductor nanocrystal based target is fabricated. A guard ring superjacent the fluorescing surface of the nanocrystal surface is provided to ensure repeatability of spectral mapping and analysis data. A transparent cap on the target may enhance performance. A system for coding a semiconductor device is described. A method is described for fabricating quantum dot targets in a methodology compatible with subsequent semiconductor fabrication process steps.
    Type: Grant
    Filed: September 16, 2013
    Date of Patent: August 25, 2015
    Assignee: HRL Laboratories, LLC
    Inventors: Mary Y. Chen, Peter W. Deelman, Marko Sokolich
  • Patent number: 9105471
    Abstract: Rare earth oxy-nitride buffered III-N on silicon includes a silicon substrate with a rare earth oxide (REO) structure, including several REO layers, is deposited on the silicon substrate. A layer of single crystal rare earth oxy-nitride is deposited on the REO structure. The REO structure is stress engineered to approximately crystal lattice match the layer of rare earth oxy-nitride so as to provide a predetermined amount of stress in the layer of rare earth oxy-nitride. A III oxy-nitride structure, including several layers of single crystal rare earth oxy-nitride, is deposited on the layer of rare earth oxy-nitride. A layer of single crystal III-N nitride is deposited on the III oxy-nitride structure. The III oxy-nitride structure is chemically engineered to approximately crystal lattice match the layer of III-N nitride and to transfer the predetermined amount of stress in the layer of rare earth oxy-nitride to the layer of III-N nitride.
    Type: Grant
    Filed: August 3, 2011
    Date of Patent: August 11, 2015
    Assignee: TRANSLUCENT, INC.
    Inventors: Andrew Clark, Erdem Arkun, Robin Smith, Michael Lebby
  • Patent number: 9105756
    Abstract: A silicon carbide substrate capable of reducing on-resistance and improving yield of semiconductor devices is made of single-crystal silicon carbide, and sulfur atoms are present in one main surface at a ratio of not less than 60×1010 atoms/cm2 and not more than 2000×1010 atoms/cm2, and oxygen atoms are present in the one main surface at a ratio of not less than 3 at % and not more than 30 at %.
    Type: Grant
    Filed: July 3, 2012
    Date of Patent: August 11, 2015
    Assignee: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventor: Keiji Ishibashi
  • Patent number: 9099388
    Abstract: A device includes insulation regions over portions of a semiconductor substrate, and a III-V compound semiconductor region over top surfaces of the insulation regions, wherein the III-V compound semiconductor region overlaps a region between opposite sidewalls of the insulation regions. The III-V compound semiconductor region includes a first and a second III-V compound semiconductor layer formed of a first III-V compound semiconductor material having a first band gap, and a third III-V compound semiconductor layer formed of a second III-V compound semiconductor material between the first and the second III-V compound semiconductor layers. The second III-V compound semiconductor material has a second band gap lower than the first band gap. A gate dielectric is formed on a sidewall and a top surface of the III-V compound semiconductor region. A gate electrode is formed over the gate dielectric.
    Type: Grant
    Filed: October 21, 2011
    Date of Patent: August 4, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Ta Lin, Chun-Feng Nieh, Chung-Yi Yu, Chi-Ming Chen
  • Patent number: 9070887
    Abstract: A photoelectric conversion device comprising an electrically conductive film, an organic photoelectric conversion film, and a transparent electrically conductive film, wherein the organic photoelectric conversion film contains a compound represented by the following formula (1) and an n-type organic semiconductor: wherein each of R1 and R2 independently represents a substituted aryl group, an unsubstituted aryl group, a substituted heteroaryl group or an unsubstituted heteroaryl group, each of R3 to R11 independently represents a hydrogen atom or a substituent provided that an acidic group is excluded, m represents 0 or 1, n represents an integer of 0 or more, R1 and R2, R3 and R4, R3 and R5, R5 and R6, R6 and R8, R7 and R8, R7 and R9, or R10 and R11 may be combined each other to form a ring, and when n is an integer of 2 or more, out of a plurality of R7's and R8's, a pair of R7's, a pair of R8's, or a pair of R7 and R8 may be combined each other to form a ring.
    Type: Grant
    Filed: July 18, 2013
    Date of Patent: June 30, 2015
    Assignee: FUJIFILM Corporation
    Inventors: Katsuyuki Yofu, Kimiatsu Nomura, Mitsumasa Hamano, Tetsuro Mitsui
  • Patent number: 9039834
    Abstract: Non-polar (11 20) a-plane gallium nitride (GaN) films with planar surfaces are grown on (1 102) r-plane sapphire substrates by employing a low temperature nucleation layer as a buffer layer prior to a high temperature growth of the non-polar (11 20) a-plane GaN thin films.
    Type: Grant
    Filed: June 2, 2011
    Date of Patent: May 26, 2015
    Assignee: The Regents of the University of California
    Inventors: Michael D. Craven, James Stephen Speck
  • Patent number: 9034104
    Abstract: A larger substrate can be used, and a transistor having a desirably high field-effect mobility can be manufactured through formation of an oxide semiconductor layer having a high degree of crystallinity, whereby a large-sized display device, a high-performance semiconductor device, or the like can be put into practical use. A single-component oxide semiconductor layer is formed over a substrate; then, crystal growth is carried out from a surface to an inside by performing heat treatment at 500° C. to 1000° C. inclusive, preferably 550° C. to 750° C. inclusive so that a single-component oxide semiconductor layer including single crystal regions is formed; and a multi-component oxide semiconductor layer including single crystal regions is stacked over the single-component oxide semiconductor layer including single crystal regions.
    Type: Grant
    Filed: December 15, 2010
    Date of Patent: May 19, 2015
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Takuya Hirohashi, Masahiro Takahashi, Takashi Shimazu
  • Patent number: 9024338
    Abstract: The present invention relates to the growing of nitride semiconductors, applicable for a multitude of semiconductor devices such as diodes, LEDs and transistors. According to the method of the invention nitride semiconductor nanowires are grown utilizing a CVD based selective area growth technique. A nitrogen source and a metal-organic source are present during the nanowire growth step and at least the nitrogen source flow rate is continuous during the nanowire growth step. The V/III-ratio utilized in the inventive method is significantly lower than the V/III-ratios commonly associated with the growth of nitride based semiconductor.
    Type: Grant
    Filed: November 7, 2013
    Date of Patent: May 5, 2015
    Assignee: QuNano AB
    Inventors: Werner Seifert, Damir Asoli, Zhaoxia Bi, Jonas Ohlsson, Lars Ivar Samuelson
  • Patent number: 9017633
    Abstract: Single crystal diamond material produced using chemical vapour deposition (CVD), and particularly diamond material having properties suitable for use in optical applications such as lasers, is disclosed. In particular, a CVD single crystal diamond material having preferred characteristics of longest linear internal dimension, birefringence and absorption coefficient, when measured at room temperature, is disclosed. Uses of the diamond material, including in a Raman laser, and methods of producing the diamond are also disclosed.
    Type: Grant
    Filed: January 14, 2011
    Date of Patent: April 28, 2015
    Assignee: Element Six Technologies Limited
    Inventors: Ian Friel, Sarah Louise Geoghegan, Daniel James Twitchen, Joseph Michael Dodson
  • Patent number: 9011599
    Abstract: A method of determining a temperature in a deposition reactor includes the steps of depositing a first epitaxial layer of silicon germanium on a substrate, depositing a second epitaxial layer of silicon above the first epitaxial layer, measuring the thickness of the second epitaxial layer and determining the temperature in the deposition reactor using the measured thickness of the second epitaxial layer. The method may also include heating the deposition reactor to approximately a predetermined temperature using a heating device and a temperature measuring device and generating a signal indicative of a temperature within the deposition reactor. The method may also contain the steps of comparing the measured thickness with a predetermined thickness of the second epitaxial layer corresponding to the predetermined temperature and determining the temperature in the deposition reactor using the measured thickness of the second epitaxial layer and the predetermined thickness of the second epitaxial layer.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: April 21, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jhi-Cherng Lu, Jr-Hung Li, Chii-Horng Li, Pang-Yen Tsai, Bing-Hung Chen, Tze-Liang Lee
  • Publication number: 20150090180
    Abstract: A method of epitaxially growing a final film using a crystalline substrate wherein the final film cannot be grown directly on the substrate surface is disclosed. The method includes forming a transition layer on the upper surface of the substrate. The transition layer has a lattice spacing that varies between its lower and upper surfaces. The lattice spacing at the lower surface matches the lattice spacing of the substrate to within a first lattice mismatch of 7%. The lattice spacing at the upper surface matches the lattice spacing of the final film to within a second lattice mismatch of 7%. The method also includes forming the final film on the upper surface of the transition layer.
    Type: Application
    Filed: September 27, 2013
    Publication date: April 2, 2015
    Applicant: Ultratech, Inc.
    Inventors: Andrew M. Hawryluk, Daniel Stearns
  • Patent number: 8986448
    Abstract: To provide a method of manufacturing a single crystal 3C-SiC substrate that can dramatically reduce surface defects generated in a processing of epitaxial growth and can secure a quality as a semiconductor device while simplifying a post process. The method of manufacturing a single crystal 3C-SiC substrate where a single crystal 3C-SiC layer is formed on a base substrate by epitaxial growth is provided. A first growing stage of forming the single crystal 3C-SiC layer to have a surface state configured with a surface with high flatness and surface pits scattering in the surface is performed. A second growing stage of further epitaxially growing the single crystal 3C-SiC layer obtained in the first growing stage so as to fill the surface pits is performed.
    Type: Grant
    Filed: March 14, 2011
    Date of Patent: March 24, 2015
    Assignee: Air Water Inc.
    Inventors: Hidetoshi Asamura, Keisuke Kawamura, Satoshi Obara
  • Patent number: 8968469
    Abstract: A structure including a substrate, an intermediate layer provided and formed directly onto the substrate, a transition region, and a group II-VI bulk crystal material provided and formed as an extension of the transition region. The transition region acts to change the structure from the underlying substrate to that of the bulk crystal. In a method of manufacture, a similar technique can be used for growing the transition region and the bulk crystal layer.
    Type: Grant
    Filed: October 11, 2010
    Date of Patent: March 3, 2015
    Assignee: Kromek Limited
    Inventors: Arnab Basu, Max Robinson, Ben Cantwell, Andy Brinkman
  • Patent number: 8945302
    Abstract: Method for crystal growth from a surfactant of a metal-nonmetal (MN) compound, including the procedures of providing a seed crystal, introducing atoms of a first metal to the seed crystal thus forming a thin liquid metal wetting layer on a surface of the seed crystal, setting a temperature of the seed crystal below a minimal temperature required for dissolving MN molecules in the wetting layer and above a melting point of the first metal, each one of the MN molecules being formed from an atom of a second metal and an atom of a first nonmetal, introducing the MN molecules which form an MN surfactant monolayer, thereby facilitating a formation of the wetting layer between the MN surfactant monolayer and the surface of the seed crystal, and regulating a thickness of the wetting layer, thereby growing an epitaxial layer of the MN compound on the seed crystal.
    Type: Grant
    Filed: March 4, 2012
    Date of Patent: February 3, 2015
    Assignee: Mosaic Crystals Ltd.
    Inventor: Moshe Einav
  • Patent number: 8945304
    Abstract: A system and method A method of growing an elongate nanoelement from a growth surface includes: a) cleaning a growth surface on a base element; b) providing an ultrahigh vacuum reaction environment over the cleaned growth surface; c) generating a reactive gas of an atomic material to be used in forming the nanoelement; d) projecting a stream of the reactive gas at the growth surface within the reactive environment while maintaining a vacuum of at most 1×10?4 Pascal; e) growing the elongate nanoelement from the growth surface within the environment while maintaining the pressure of step c); f) after a desired length of nanoelement is attained within the environment, stopping direction of reactive gas into the environment; and g) returning the environment to an ultrahigh vacuum condition.
    Type: Grant
    Filed: August 13, 2008
    Date of Patent: February 3, 2015
    Assignee: The Board of Regents of the Nevada System of Higher Education on behalf of the University of Nevada, Las Vegas University of Nevada
    Inventors: Biswajit Das, Myung B. Lee
  • Publication number: 20150030846
    Abstract: To improve the single crystallinity of a stacked film in which a ZrO2 film and a Y2O3 film are stacked or a YSZ film. A crystal film includes a Zr film and a stacked film in which a ZrO2 film and a Y2O3 film formed on the Zr film are stacked, and has a peak half-value width when the stacked film is evaluated by X-ray diffraction being 0.05° to 2.0°.
    Type: Application
    Filed: July 18, 2014
    Publication date: January 29, 2015
    Inventors: Takeshi KIJIMA, Yuuji HONDA
  • Patent number: 8936681
    Abstract: A method for making an epitaxial structure is provided. The method includes the following steps. A substrate is provided. The substrate has an epitaxial growth surface for growing epitaxial layer. A carbon nanotube layer is placed on the epitaxial growth surface. An epitaxial layer is epitaxially grown on the epitaxial growth surface. The carbon nanotube layer is removed. The carbon nanotube layer can be removed by heating.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: January 20, 2015
    Assignees: Tsinghua University, Hon Hai Precision Industry Co., Ltd.
    Inventors: Yang Wei, Shou-Shan Fan
  • Patent number: 8932403
    Abstract: A method for forming a surface-textured single-crystal film layer by growing the film atop a layer of microparticles on a substrate and subsequently selectively etching away the microparticles to release the surface-textured single-crystal film layer from the substrate. This method is applicable to a very wide variety of substrates and films. In some embodiments, the film is an epitaxial film that has been grown in crystallographic alignment with respect to a crystalline substrate.
    Type: Grant
    Filed: May 23, 2011
    Date of Patent: January 13, 2015
    Assignee: Sandia Corporation
    Inventors: Qiming Li, George T. Wang
  • Patent number: 8927376
    Abstract: A method for forming epitaxial layer is disclosed. The method includes the steps of providing a semiconductor substrate, and forming an undoped first epitaxial layer in the semiconductor substrate. Preferably, the semiconductor substrate includes at least a recess, the undoped first epitaxial layer has a lattice constant, a bottom thickness, and a side thickness, in which the lattice constant is different from a lattice constant of the semiconductor substrate and the bottom thickness is substantially larger than or equal to the side thickness.
    Type: Grant
    Filed: April 24, 2014
    Date of Patent: January 6, 2015
    Assignee: United Microelectronics Corp.
    Inventors: Chin-I Liao, Teng-Chun Hsuan, Chin-Cheng Chien
  • Patent number: 8926752
    Abstract: There is provided a method capable of obtaining an aluminum-based group III nitride crystal layer having a smooth surface and high crystallinity by employing only HVPE in which inexpensive raw materials can be used to reduce production costs and high-speed film formation is possible without employing MOVPE. To produce a group III nitride crystal by HVPE comprising the step of growing a group III nitride crystal layer by vapor-phase growth on a single crystal substrate by contacting the heated single crystal substrate with a raw material gas containing a group III halide and a compound having a nitrogen atom, the group III nitride crystal is grown by vapor-phase growth on the single crystal substrate heated at a temperature of 1,000° C. or more and less than 1,200° C. to form an intermediate layer and then, a group III nitride crystal is further grown by vapor-phase growth on the intermediate layer on the substrate heated at a temperature of 1,200° C. or higher.
    Type: Grant
    Filed: February 27, 2008
    Date of Patent: January 6, 2015
    Assignees: Tokuyama Corporation, Tokyo University of Agriculture and Technology
    Inventors: Akinori Koukitu, Yoshinao Kumagai, Toru Nagashima, Kazuya Takada, Hiroyuki Yanagi
  • Patent number: 8876973
    Abstract: There is provided an n type (100) oriented single crystal diamond semiconductor film into which phosphorous atoms have been doped and a method of producing the same. The n type (100) oriented single crystal diamond semiconductor film, characterized in that (100) oriented diamond is epitaxially grown on a substrate under such conditions that; the diamond substrate is (100) oriented diamond, a means for chemical vapor deposition provides hydrogen, hydrocarbon and a phosphorous compound in the plasma vapor phase, the ratio of phosphorous atoms to carbon atoms in the plasma vapor phase is no less than 0.1%, and the ratio of carbon atoms to hydrogen atoms is no less than 0.05%, and the method of producing the same.
    Type: Grant
    Filed: January 5, 2012
    Date of Patent: November 4, 2014
    Assignee: National Institute of Advanced Industrial Science and Technology
    Inventors: Hiromitsu Kato, Satoshi Yamasaki, Hideyo Ookushi, Shinichi Shikata
  • Publication number: 20140318592
    Abstract: A method for enhancement of thermoelectric properties through polarization engineering. Internal electric fields created within a material are used to spatially confine electrons for the purpose of enhancing thermoelectric properties. Electric fields can be induced within a material by the presence of bound charges at interfaces. A combination of spontaneous and piezoelectric polarization can induce this interfacial charge. The fields created by these bound charges have the effect of confining charge carriers near these interfaces. By confining charge carriers to a channel where scattering centers can be deliberately excluded the electron mobility can be enhanced, thus enhancing thermoelectric power factor. Simultaneously, phonons will not be affected by the fields and thus will be subject to the many scattering centers present in the majority of the structure. This allows for simultaneous enhancement of power factor and reduction of thermal conductivity, thus improving the thermoelectric figure of merit, ZT.
    Type: Application
    Filed: December 14, 2012
    Publication date: October 30, 2014
    Applicant: THE REGENTS OF THE UNIVERSITY OF CALIFORNIA
    Inventors: Alexander Sztein, John E. Bowers, Steven P. DenBaars
  • Patent number: 8871025
    Abstract: In a crystal growth method, a seed crystal 8 and a source material 4 are provided in spaced relation inside of a growth crucible 6. Starting conditions for the growth of a crystal 14 in the growth crucible 6 are then established therein. The starting conditions include: a suitable gas inside the growth crucible 6, a suitable pressure of the gas inside the growth crucible 6, and a suitable temperature in the growth crucible 6 that causes the source material 4 to sublimate and be transported via a temperature gradient in the growth crucible 6 to the seed crystal 8 where the sublimated source material precipitates. During growth of the crystal 14 inside the growth crucible 6, at least one of the following growth conditions are intermittently changed inside the growth crucible 6 a plurality of times: the gas in the growth crucible 6, the pressure of the gas in the growth crucible 6, and the temperature in the growth crucible 6.
    Type: Grant
    Filed: September 27, 2007
    Date of Patent: October 28, 2014
    Assignee: II-VI Incorporated
    Inventors: Avinash Gupta, Utpal K. Chakrabarti, Jihong Chen, Edward Semenas, Ping Wu
  • Patent number: 8852343
    Abstract: Apparatus for vapor phase growing of crystals having a single multi-zone heater arranged to heat a heated zone to give a predetermined temperature profile along the length of the heated zone. A generally U-shaped tube having a first limb, a second limb, and a linkage connecting the first and second limbs is located on the heated zone. The first limb contains a source material. The second limb supports a seed such that the source material and seed are spaced longitudinally within the heated zone to provide a predetermined temperature differential between the source and seed. The crystal is grown on the seed.
    Type: Grant
    Filed: May 16, 2008
    Date of Patent: October 7, 2014
    Assignee: Kromek Limited
    Inventors: Arnab Basu, Ben Cantwell, Max Robinson