With Measuring, Testing, Or Inspecting Patents (Class 216/59)
  • Patent number: 8617410
    Abstract: A method for inspecting semiconductor wafers patterned by a photomask includes loading a first wafer and scanning a first image of the first wafer, loading a second wafer and scanning a second image of the second wafer, comparing the first and second images, and classifying a difference detected between the first and second images as a potential defect on the photomask. The potential defect includes a haze defect on the photomask.
    Type: Grant
    Filed: October 13, 2011
    Date of Patent: December 31, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chang-Cheng Hung, Tsai-Sheng Gau
  • Publication number: 20130344339
    Abstract: An ion radiation damage prediction method includes a parameter computation step of computing the incidence energy and incidence angle of an incident ion hitting a fabricated object, and a step of searching for data in databases created in advance on the basis of the computed incidence energy and angle, the databases storing distributions of quantities of crystalline defects having an effect on the fabricated object, ion reflection probabilities and ion penetration depths. The method also includes finding the penetration depth and location of the incident ion based on the data found in the searching step and based on the computed incidence energy and angle, and computing a quantity of defects in the fabricated object from the penetration depth and location. A distribution of defects may be computed by performing the aforementioned steps for many incident ions.
    Type: Application
    Filed: August 28, 2013
    Publication date: December 26, 2013
    Applicant: SONY CORPORATION
    Inventors: Nobuyuki Kuboi, Shoji Kobayashi
  • Patent number: 8609441
    Abstract: A substrate comprises a first mark and a second mark. The first mark comprises a first pattern with at least one mark feature formed by a first material and at least one region formed by a second material. The first and second materials have different material characteristics with respect to a substrate treatment process such that a step height in a direction substantially perpendicular to the surface of the substrate may be created by applying the substrate treatment process. The second mark can be provided with a second step height by applying the substrate treatment process. The second step height is substantially different from the first step height.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: December 17, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Richard Johannes Franciscus Van Haren, Bartolomeus Petrus Rijpers, Harminder Singh, Gerald Arthur Finken
  • Publication number: 20130319612
    Abstract: This description relates to a plasma treatment apparatus including a vapor chamber, a gas supply and an upper electrode assembly. The upper electrode assembly includes a gas distribution plate having a plurality of holes in a bottom surface thereof and an upper electrode having at least one gas nozzle and at least one controllable valve connected to the at least one gas nozzle. The plasma treatment apparatus further includes a controller configured to generate a control signal. The at least one controllable valve is configured to be adjusted based on the control signal. A control system and a method of controlling a controllable valve are also described.
    Type: Application
    Filed: June 1, 2012
    Publication date: December 5, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yen-Shuo SU, Ying XIAO, Chin-Hsiang LIN
  • Patent number: 8591755
    Abstract: A time-dependent substrate temperature to be applied during a plasma process is determined. The time-dependent substrate temperature at any given time is determined based on control of a sticking coefficient of a plasma constituent at the given time. A time-dependent temperature differential between an upper plasma boundary and a substrate to be applied during the plasma process is also determined. The time-dependent temperature differential at any given time is determined based on control of a flux of the plasma constituent directed toward the substrate at the given time. The time-dependent substrate temperature and time-dependent temperature differential are stored in a digital format suitable for use by a temperature control device defined and connected to direct temperature control of the upper plasma boundary and the substrate. A system is also provided for implementing upper plasma boundary and substrate temperature control during the plasma process.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: November 26, 2013
    Assignee: Lam Research Corporation
    Inventor: Rajinder Dhindsa
  • Patent number: 8568602
    Abstract: A method for manufacturing a magnetic sensor that decreases area resistance and decreases MR ratio of the sensor by eliminating any oxide formation in the capping layer of the sensor. The method includes forming a sensor stack having a multi-layer capping structure formed there-over. The multi-layer capping structure can include first, second, third and fourth layers. The second layer is constructed of a material that is not easily oxidized and which is different from the first layer. The sensor can be formed using a mask that includes a carbon hard mask. After the sensor stack has been formed by ion milling, the hard mask can be removed by reactive ion etching. Then, a cleaning process is performed to remove the second, third and fourth layers of the capping layer structure using an end point detection method such as secondary ion mass spectrometry to detect the presence of the second layer.
    Type: Grant
    Filed: January 19, 2011
    Date of Patent: October 29, 2013
    Assignee: HGST Netherlands B.V.
    Inventors: Koji Sakamoto, Atsushi Katou, Takao Yonekawa, Norihiro Ookawa, Kouichi Nishioka, Kouji Okazaki
  • Patent number: 8545710
    Abstract: An ion radiation damage prediction method includes a parameter computation step of computing the collision position and the incidence angle of an incident ion hitting a fabricated object by considering a transport path of the ion and by adopting the Monte Carlo method which takes distributions of flux quantities, incidence energies and angles of incident ions as input parameters; and a defect-distribution computation step of searching for data by referring to information found at the parameter computation step and databases created in advance, the databases storing distributions of quantities of crystalline defects having an effect on the fabricated object, ion reflection probabilities and ion penetration depths, finding the penetration depth and location of the incident ion based on the data found in the search operation, and the incidence energy and angle of the incident ion, and computing a distribution of defects in the fabricated object from the penetration depth and location.
    Type: Grant
    Filed: February 17, 2010
    Date of Patent: October 1, 2013
    Assignee: Sony Corporation
    Inventors: Nobuyuki Kuboi, Shoji Kobayashi
  • Patent number: 8546266
    Abstract: The invention provides a plasma processing apparatus and a dry etching method for etching a multilayered film structure having steps with high accuracy. The plasma processing apparatus comprises a vacuum reactor 107, a lower electrode 113 placed within a processing chamber of the vacuum reactor and having a wafer 112 to be etched mounted on the upper surface thereof, bias supplying units 118 and 120 for supplying high frequency power for forming a bias potential to the lower electrode 113, a gas supply means 111 for feeding reactive gas into the processing chamber, an electric field supplying means 101 through 103 for supplying a magnetic field for generating plasma in the processing chamber, and a control unit 127 for controlling the distribution of ion energy in the plasma being incident on the wafer 112 via the high frequency power.
    Type: Grant
    Filed: August 18, 2011
    Date of Patent: October 1, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masahito Mori, Naoyuki Kofuji, Naoshi Itabashi
  • Patent number: 8546267
    Abstract: A method of controlling wafer temperature in a plasma reactor by obtaining the next scheduled change in RF heat load on the workpiece, and using thermal modeling to estimate respective changes in wafer backside gas pressure and in coolant flow through a wafer support pedestal that would compensate for the next scheduled change in RF heat load, and making the respective changes in the backside gas pressure or in the coolant flow prior to the time of the next scheduled change.
    Type: Grant
    Filed: November 24, 2010
    Date of Patent: October 1, 2013
    Assignees: B/E Aerospace, Inc., Applied Materials, Inc.
    Inventors: Paul Lukas Brillhart, Richard Fovell, Douglas A. Buchberger, Jr., Douglas H. Burns, Kallol Bera, Daniel J. Hoffman, Kenneth W. Cowans, William W. Cowans, Glenn W. Zubillaga, Isaac Millan
  • Publication number: 20130240482
    Abstract: Methods and apparatus for modifying RF current path lengths are disclosed. Apparatus includes a plasma processing system having an RF power supply and a lower electrode having a conductive portion. There is included an insulative component disposed in an RF current path between the RF power supply and the conductive portion. There are included a plurality of RF path modifiers disposed within the insulative component, the plurality of RF path modifiers being disposed at different angular positions relative to a reference angle drawn from a center of the insulative component, whereby at least a first one of the plurality of RF path modifiers is electrically connected to the conductive portion and at least a second one of the plurality of the plurality of RF path modifiers is not electrically connected to the conductive portion.
    Type: Application
    Filed: March 19, 2012
    Publication date: September 19, 2013
    Inventors: Sang Ki Nam, Rajinder Dhindsa, Alexei Marakhtanov
  • Patent number: 8535550
    Abstract: Disclosed herein is a shape simulation apparatus including: a flux computation block configured to compute the flux of particles incident on the surface of a wafer covered with a mask; and a shape computation block configured to compute a surface shape of the wafer by allowing the coordinates of a plurality of calculation points established on the surface of the wafer to be time-evolved based on the incident flux computed.
    Type: Grant
    Filed: August 17, 2010
    Date of Patent: September 17, 2013
    Assignee: Sony Corporation
    Inventors: Nobuyuki Kuboi, Takashi Kinoshita, Tetsuya Tatsumi
  • Patent number: 8524099
    Abstract: Methods for processing events occurring in a process chamber are provided. In one method, an operation includes carrying gas and receiving an optical signal from the process chamber to an analysis tool that operates in response to the optical signal having a signal-to-noise ratio (SNR) for process analysis. And, dividing the carried gas and optical signal into a plurality of separate gas and optical signals between the process chamber and the analysis tool. The dividing is configured through separate apertures so that the apertures collectively maintain the SNR of the optical signal received at the tool. Methods provide a septum in a second bore dividing the second bore into apertures configured to reduce etching of and deposition on the optical access window and to maintain the desired SNR at the diagnostic end point.
    Type: Grant
    Filed: January 7, 2011
    Date of Patent: September 3, 2013
    Assignee: Lam Research Corporation
    Inventors: Jeff A. Bogart, Leonard Sharpless, Harmeet Singh
  • Patent number: 8518278
    Abstract: A method of drying a substrate comprises: supplying a first air flow 4 downwardly in an inclined direction onto the substrate; supplying a second air flow 5 upwardly in an inclined direction onto the substrate, while moving relatively the substrate and the upper and lower blowing portions so that the substrate, from the end area as a front of the substrate, passes between the upper blowing portion and the lower blowing portion; and controlling the first and second air flows such that a velocity component of the second air flow in an upward direction perpendicular to the virtual plane is smaller than a velocity component of the first air flow in a downward direction perpendicular to the virtual plane.
    Type: Grant
    Filed: August 5, 2011
    Date of Patent: August 27, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kazushige Utsumi
  • Publication number: 20130213933
    Abstract: Apparatus and methods are disclosed for employing an accelerated neutral beam derived from an accelerated gas cluster ion beam as a physical etching beam for providing reduced material mixing at the etched surface, compared to previous techniques. This results in the ability to achieve improved depth profile resolution in measurements by analytical instruments such as SIMS and XPS (or ESCA) analytical instruments.
    Type: Application
    Filed: August 21, 2012
    Publication date: August 22, 2013
    Applicant: Exogenesis Corporation
    Inventors: Sean R. Kirkpatrick, Allen R. Kirkpatrick
  • Patent number: 8500950
    Abstract: A method includes forming a resist film on an etching target layer disposed on a test substrate, and performing sequential light exposure with a predetermined test pattern on the resist film sequentially at a plurality of areas, while respectively using different combinations of a light exposure amount and a focus value, along with subsequent development, thereby forming resist patterns at the plurality of areas; then etching the etching target layer, removing the resist patterns, and measuring shapes of etched patterns at the plurality of areas by means of a scatterometory technique; and determining a management span of combinations of a light exposure amount and a focus value admissible to obtain an etched pattern with a predetermined shape, with reference to the light exposure amounts and focus values used in the sequential light exposure, the line widths of the resist patterns, and the line widths of the etched patterns.
    Type: Grant
    Filed: May 24, 2011
    Date of Patent: August 6, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Kazuo Sawai, Akihiro Sonoda
  • Publication number: 20130193108
    Abstract: Methods and substrate processing systems for analyzing an end point of a process are provided. By-products of the process are detected and monitored to determine the completion of various types of reaction processes within a substrate processing chamber. The methods provide real time process monitoring, thereby reducing the need to rigidly constrain other substrate processing parameters, increasing chamber cleaning efficiency, and/or increasing substrate processing throughput.
    Type: Application
    Filed: January 27, 2012
    Publication date: August 1, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Bo Zheng, Mei Chang, Arvind Sundarrajan
  • Patent number: 8481142
    Abstract: A system and method for monitoring chloride content and concentration induced by a metal etch process is disclosed. A blank metal film is deposited on a semiconductor wafer. A metal etch process is then applied to partially etch the blank metal film on the wafer. The metal etch process exposes the metal film to chlorine. The wafer is then scanned using surface profiling total X-ray reflection fluorescence. A chlorine concentration map is generated that shows quantitative and spatial information about the chlorine on the wafer. Information from the chlorine concentration map is then used to select a value of chlorine concentration for a metal etch process that will not create metal chloride corrosion on a semiconductor wafer.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: July 9, 2013
    Assignee: National Semiconductor Corporation
    Inventors: Thanas Budri, Thomas Francis, David Tucker, Stephen W. Swan, Sergei Drizlikh
  • Patent number: 8480807
    Abstract: The invention relates to a method of cleaning and/or sterilization of an object provided in a hermetically sealed enclosure, providing a pressure difference between an internal volume of the enclosure and surroundings and generating a plasma solely inside the enclosure for said cleaning and/or sterilization of the object. The invention further relates to an apparatus for enabling the same. The apparatus 10 comprises a vacuum chamber 1, which can be evacuated using a vacuum pump 2, and a source 3 arranged to generate plasma of a suitable gas in an enclosure 8, which is substantially hermetically closed with respect to the atmosphere of the vacuum chamber. The enclosure 8 may be of a flexible type or may be manufactured from a rigid material. In case when the enclosure is rigid the pressure inside the enclosure may be lower than an outside pressure.
    Type: Grant
    Filed: October 16, 2009
    Date of Patent: July 9, 2013
    Assignee: Nederlandse Organisatie voor toegepast-natuurwetenschappelijk onderziek TNO
    Inventors: Norbertus Benedictus Koster, René Koops, Kemal Agovic, Fokko Pieter Wieringa
  • Patent number: 8470095
    Abstract: A process for surface preparation of a substrate (2), which comprises introducing or running a substrate (2) into a reaction chamber (6, 106). A dielectric barrier (14, 114) is placed between electrodes (1, 10, 110). A high-frequency electrical voltage is generated, to generate filamentary plasma (12, 112). Molecules (8, 108) are introduced into the reaction chamber (6, 106). Upon contact with the plasma, they generate active species typical of reacting with the surface of the substrate. An adjustable inductor (L) placed in parallel with the inductor of the installation is employed to reduce the phase shift between the voltage and the current generated and to increase the time during which the current flows in the plasma (12, 112).
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: June 25, 2013
    Assignee: AGC Glass Europe
    Inventors: Eric Tixhon, Joseph Leclercq, Eric Michel
  • Publication number: 20130153536
    Abstract: Methods and apparatuses for combinatorial processing using a remote plasma source are disclosed. The apparatus includes a remote plasma source and an inner chamber enclosing a substrate support. An aperture is operable to provide plasma exposure to a site-isolated region on a substrate. A transport system moves the substrate support and is capable of positioning the substrate such that the site-isolated region can be located anywhere on the substrate. Barriers and a gas purge system operate to provide site-isolation. Plasma exposure parameters can be varied in a combinatorial manner. Such parameters include source gases for the plasma generator, plasma filtering parameters, exposure time, gas flow rate, frequency, plasma generator power, plasma generation method, chamber pressure, substrate temperature, distance between plasma source and substrate, substrate bias voltage, or combinations thereof.
    Type: Application
    Filed: December 16, 2011
    Publication date: June 20, 2013
    Applicant: Intermolecular, Inc.
    Inventors: ShouQian Shao, Kent Riley Child, Danny Wang
  • Patent number: 8460566
    Abstract: A staggered laser-etch line graphic system, method, and articles of manufacture are provided. One described method includes the steps of laser engraving a first plurality of lines associated with a first component section of a graphic on a surface of an article; laser engraving a second plurality of lines associated with a second component section of the graphic on the surface of the article; and controlling said laser engraving of the first plurality of lines and said laser engraving of second plurality of lines to reduce the visual impact of a demarcation line separating the first component section of the graphic and the second component section of the graphic.
    Type: Grant
    Filed: April 27, 2010
    Date of Patent: June 11, 2013
    Assignee: Echelon Laser Systems, LP
    Inventor: Darryl J. Costin, Jr.
  • Publication number: 20130119016
    Abstract: A plasma processing apparatus includes a plasma processing chamber, a process monitor which monitors a condition in the plasma processing chamber, an actuator which controls a parameter constituting a plasma processing condition, N+1 correction amount calculating units which calculate a correction amount of a manipulated variable on the basis of a difference between a process monitor value monitored by the process monitor and a desired value of the process monitor and a correlation between the process monitor value and a manipulated variable, which is the parameter, the correlation having been acquired in advance, and N manipulated variable adding units that add a manipulated variable having a priority level next to an N-th manipulated variable. The N-th manipulated variable adding unit defines a correction amount calculated by the N+1-th correction amount calculating unit as the correction amount of an N+1-th manipulated variable.
    Type: Application
    Filed: January 24, 2012
    Publication date: May 16, 2013
    Inventors: Akira KAGOSHIMA, Daisuke SHIRAISHI, Yuji NAGATANI
  • Patent number: 8440573
    Abstract: A method is provided for processing a wafer used in fabricating semiconductor devices. The method can comprise forming high-aspect ratio features on the wafer, which is followed by wet processing and drying. During drying, pattern collapse can occur. This pattern collapse can be repaired to allow for additional processing of the wafer. In some instance, pattern collapse can be repaired via etching where the etching breaks bonds that can have formed during pattern collapse.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: May 14, 2013
    Assignee: Lam Research Corporation
    Inventors: Katrina Mikhaylichenko, Denis Syomin, Qian Fu, Glenn W. Gale, Shenjian Liu, Mark H. Wilcoxson
  • Publication number: 20130105442
    Abstract: A stacked proportioning valve having a body with at least two sets of ports disposed at different positions along a longitudinal length of the body, each set of ports including at least three ports at different angular positions to couple to fluid conduits, a rotor disposed in the valve body has at least two sections stacked along the longitudinal length, each section comprising three fluid channels in longitudinal alignment with one of the sets of ports, and a drive shaft affixed to the rotor, the drive shaft to rotate the rotor over angular positions to fluidly couple together pairs of ports in each of the sets of ports synchronously as a function of the rotor's angular position. In embodiments a component of a plasma processing chamber, such as a plasma etch chamber is fluidly coupled by the stack proportioning valve to reservoirs of both a hot and cold chiller.
    Type: Application
    Filed: October 15, 2012
    Publication date: May 2, 2013
    Inventor: Walter R. MERRY
  • Patent number: 8419958
    Abstract: Apparatus, systems and methods for plasma etching substrates are provided that achieve dissipation of charge build-up on a substrate being plasma etched to avoid notching or twisting in high aspect ratio contents and similar features. Charge build-up on a substrate being etched by plasma etching can be dissipated by a method for etching a substrate, the method comprising: providing a plasma processing chamber comprising a chamber enclosure and a substrate support adapted to support a substrate within the chamber enclosure; supporting a substrate on the substrate support; forming a plasma within the chamber enclosure such that a surface of the substrate is in contact with the plasma; etching the substrate by generating a negative bias on the substrate surface relative to the plasma; and intermittently changing the bias on the substrate surface to positive relative to the plasma. The present method can be integrated into known plasma processing systems.
    Type: Grant
    Filed: May 11, 2010
    Date of Patent: April 16, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Aaron R. Wilson
  • Patent number: 8414787
    Abstract: Methods and devices for selective etching in a semiconductor process are shown. Chemical species generated in a reaction chamber provide both a selective etching function and concurrently form a protective coating on other regions. An electron beam provides activation to selective chemical species. In one example, reactive species are generated from a plasma source to provide an increased reactive species density. Addition of other gasses to the system can provide functions such as controlling a chemistry in a protective layer during a processing operation. In one example an electron beam array such as a carbon nanotube array is used to selectively expose a surface during a processing operation.
    Type: Grant
    Filed: May 14, 2010
    Date of Patent: April 9, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Neal R. Rueger, Mark J. Williamson, Gurtej S. Sandhu
  • Patent number: 8409995
    Abstract: Positioning accuracy of a component in a substrate processing apparatus can be improved higher than a conventional case without increasing the insertion accuracy of positioning pins into positioning holes. Provided is a substrate processing apparatus including a mounting table 110 including a susceptor 114 having a substrate mounting surface 115 on which a wafer W is mounted and a focus ring mounting surface 116 on which a focus ring 124 is mounted; a plurality of positioning pins 200 made of a material expandable in a diametric direction by heating. Each positioning pin is inserted into a positioning hole (first reference hole) formed in the focus ring mounting surface of the susceptor and into a positioning hole (second reference hole) formed in the focus ring, and expanded in the diametric direction by heating and fitted into the positioning holes, thus allowing a position of the focus ring to be aligned.
    Type: Grant
    Filed: August 5, 2010
    Date of Patent: April 2, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Yoshiyuki Kobayashi
  • Patent number: 8404137
    Abstract: A plasma processing apparatus includes a plurality of radio-frequency power supplies for supplying radio-frequency powers having frequencies different from each other, a common feeding line for superposing radio-frequency powers supplied respectively from the plurality of radio-frequency power supplies and feeding the superposed radio-frequency power to a same radio-frequency electrode, a radio-frequency power extracting device for extracting radio-frequency powers having predetermined frequencies from radio-frequency powers fed via the feeding line, and a radio-frequency voltage detector for measuring voltages of the radio-frequency powers having the predetermined frequencies extracted by the radio-frequency power extracting device.
    Type: Grant
    Filed: January 26, 2011
    Date of Patent: March 26, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Chishio Koshimizu, Naoki Matsumoto
  • Publication number: 20130068726
    Abstract: A plasma processing apparatus 1 includes a stock unit 2, a processing chamber 5, and an alignment chamber 4. The stock unit 2 supplies and collects a conveyable tray 7 and accommodates a wafer W in each of the plurality of accommodation holes 7a penetrating through in the thickness direction. In the processing chamber 5, plasma processing is performed to the wafers W accommodated in the tray 7 supplied from the stock unit 2. The alignment chamber 4 includes a rotary table 41 on which the tray 7 before being subjected to plasma processing is placed, and positioning of the wafer W on the rotary table 41 is carried out. A wafer presence-absence determining unit 6a of the control apparatus 6 determines whether the wafer W is present in each of the accommodation holes 7a of the tray 7 placed on the rotary table 41 of the alignment chamber 4, based on a signal from the wafer presence-absence detecting sensors 44A and 44B.
    Type: Application
    Filed: May 25, 2011
    Publication date: March 21, 2013
    Inventors: Shogo Okita, Sumio Miyake
  • Publication number: 20130068727
    Abstract: A plasma processing apparatus includes a stock unit, a processing unit, and an alignment chamber. The stock unit supplies and collects a conveyable tray formed with a plurality of housing holes in each of which a wafer is housed. In the processing chamber, plasma processing is executed on the wafers housed in the tray supplied from the stock unit. The alignment chamber is provided with a rotating table on which the tray before being subjected to the plasma processing is set to perform positioning of the wafers on the rotating table. A housing state determination unit of a control device determines whether or not the wafer is misaligned with respect the housing hole of the tray based on a height detected by height detecting sensors.
    Type: Application
    Filed: May 25, 2011
    Publication date: March 21, 2013
    Inventors: Shogo Okita, Yasuhiro Onishi
  • Patent number: 8397739
    Abstract: Embodiments of the present invention generally relate to methods of controlling gas flow in etching chambers. The methods generally include splitting a single process gas supply source into multiple inputs of separate process chambers, such that each chamber processes substrates under uniform processing conditions. The method generally includes using a mass flow controller as a reference for calibrating a flow ratio controller. A span correction factor may be determined to account for the difference between the actual flow and the measured flow through the flow ratio controller. The span correction factors may be used to determine corrected set points for each channel of the flow controller using equations provided herein. Furthermore, the set points of the flow ratio controller may be made gas-independent using additional equations provided herein.
    Type: Grant
    Filed: January 6, 2011
    Date of Patent: March 19, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Mariusch Gregor, John W. Lane
  • Patent number: 8383000
    Abstract: A distance between electrodes can be accurately measured by using a lifter. A substrate processing apparatus includes an upper electrode 120 and a lower electrode 310 facing each other within a processing chamber 102; a lift pin 332 that is protrusible from and retractable below the lower electrode and lifts up a substrate mounted on the lower electrode to be separated from the lower electrode; a lifter 330 that elevates the lift pin up and down; and a controller 400 that elevates the lift pin upward and brings the lift pin into contact with the upper electrode by driving the lifter while the substrate is not mounted on the lower electrode and measures a distance between the electrodes based on a moving distance of the lifter.
    Type: Grant
    Filed: December 2, 2010
    Date of Patent: February 26, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Hiroshi Tsujimoto, Makoto Kobayashi, Jun Tamura, Nobuhiro Wada
  • Patent number: 8382999
    Abstract: Radial distribution of etch rate is controlled by controlling the respective duty cycles of pulsed VHF source power applied to the ceiling and pulsed HF or MF bias power on the workpiece. Net average electrical charging of the workpiece is controlled by providing an electronegative process gas and controlling the voltage of a positive DC pulse on the workpiece applied during pulse off times of the pulsed VHF source power.
    Type: Grant
    Filed: February 23, 2010
    Date of Patent: February 26, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Ankur Agarwal, Kenneth S. Collins, Shahid Rauf, Kartik Ramaswamy, Thorsten B. Lill
  • Patent number: 8384029
    Abstract: A first instrument (230) is used to image a first semiconductor article having a trench (110) of defined cross-section, while a second instrument (220) is used to simultaneously prepare a second semiconductor article with a trench of defined cross-section. Furthermore, a method is disclosed to prepare a trench (110) of defined cross-section in a semiconductor article by rough milling and subsequent fine milling.
    Type: Grant
    Filed: June 16, 2009
    Date of Patent: February 26, 2013
    Assignee: Carl Zeiss NTS, LLC
    Inventors: Rainer Knippelmeyer, Lawrence Scipioni, Christoph Riedesel, John Morgan, Ulrich Mantz, Ulrich Wagemann
  • Patent number: 8377721
    Abstract: A substrate processing system includes a resist pattern forming apparatus including modules each configured to perform a predetermined process on a substrate with an underlying film formed thereon, an etched pattern forming apparatus including chambers each configured to perform patterning of the underlying film by use of a resist pattern as a mask, and examination devices configured to perform measurement and examination of a pattern attribute rendered on a substrate after a process in the resist pattern forming apparatus and after a process in the etched pattern forming apparatus. A controller is preset to utilize measurement results and transfer data to calculate correction value ranges respectively settable in the modules and the chambers and to determine combinations of the modules and the chambers such that corrections made within the correction value ranges cause a pattern attribute to approximate a predetermined value for each of the substrates.
    Type: Grant
    Filed: June 18, 2008
    Date of Patent: February 19, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Tsuyoshi Shibata, Eiichi Nishimura
  • Patent number: 8361275
    Abstract: When a substrate is etched by using a processing gas including a first gas containing halogen and carbon and having a carbon number of two or less per molecule, while supplying the processing gas toward the substrate independently from a central and a peripheral portion of a gas supply unit, which face the central and the periphery part of the substrate respectively, the processing gas is supplied such that a gas flow rate is greater in the central portion than in the peripheral portion. When the substrate is etched by using a processing gas including a second gas containing halogen and carbon and having a carbon number of three or more per molecule, the processing gas is supplied such that a gas flow rate is greater in the peripheral portion than in the central portion.
    Type: Grant
    Filed: March 8, 2012
    Date of Patent: January 29, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Tahara, Masaru Nishino
  • Patent number: 8343371
    Abstract: The invention can provide apparatus and methods of processing a substrate in real-time using a Quasi-Neutral Beam (Q-NB) curing system to improve the etch resistance of photoresist layer. In addition, the improved photoresist layer can be used to more accurately control gate and/or spacer critical dimensions (CDs), to control gate and/or spacer CD uniformity, and to eliminate line edge roughness (LER) and line width roughness (LWR).
    Type: Grant
    Filed: January 15, 2010
    Date of Patent: January 1, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Merritt Funk, Lee Chen, Radha Sundararajan
  • Patent number: 8323522
    Abstract: A plasma reactor and an etching method using the same are provided. The method includes a first changing step of changing the number or arrangement structure of inductive coils connecting to an RF source power supply unit, a step of applying RF source power and generating high density plasma, a first etching step of etching a first etch-target layer of a workpiece, a first stopping step of stopping applying the RF source power, a second changing step of changing the number or arrangement structure of the inductive coils, a step of applying RF source power to corresponding inductive coils and generating low density plasma, a second etching step of etching a second etch-target layer of the workpiece, and a second stopping step of stopping applying the RF source power.
    Type: Grant
    Filed: November 18, 2010
    Date of Patent: December 4, 2012
    Assignee: DMS Co., Ltd.
    Inventors: Hyeokjin Jang, Minshik Kim, Kwangmin Lee, Sungyong Ko, Hwankook Chae, Kunjoo Park, Keehyun Kim, Weonmook Lee
  • Patent number: 8323521
    Abstract: The invention can provide apparatus and methods of processing a substrate using plasma generation by gravity-induced gas-diffusion separation techniques. By adding or using gases including inert and process gases with different gravities (i.e., ratio between the molecular weight of a gaseous constituent and a reference molecular weight), a two-zone or multiple-zone plasma can be formed, in which one kind of gas can be highly constrained near a plasma generation region and another kind of gas can be largely separated from the aforementioned gas due to differential gravity induced diffusion and is constrained more closer to a wafer process region than the aforementioned gas.
    Type: Grant
    Filed: August 10, 2010
    Date of Patent: December 4, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Jianping Zhao, Lee Chen, Merritt Funk, Toshihisa Nozawa
  • Patent number: 8313665
    Abstract: Showerhead electrode assemblies are disclosed, which include a showerhead electrode adapted to be mounted in an interior of a vacuum chamber; an optional backing plate attached to the showerhead electrode; a thermal control plate attached to the backing plate or to the showerhead electrode at multiple contact points across the backing plate; and at least one thermally and electrically conductive gasket separating the backing plate and the thermal control plate, or the backing plate and showerhead electrode, at the contact points. Methods of processing semiconductor substrates using the showerhead electrode assemblies are also disclosed.
    Type: Grant
    Filed: November 24, 2010
    Date of Patent: November 20, 2012
    Assignee: Lam Research Corporation
    Inventors: Thomas R. Stevenson, Anthony de la Llera, Saurabh Ullal
  • Patent number: 8313664
    Abstract: In a plasma reactor having an electrostatic chuck, wafer voltage may be determined from RF measurements at the bias input using previously determined constants based upon transmission line properties of the bias input, and this wafer voltage may be used to accurately control the DC wafer clamping voltage.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: November 20, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Zhigang Chen, Shahid Rauf, Walter R. Merry, Leonid Dorf, Kartik Ramaswamy, Kenneth S. Collins
  • Patent number: 8298432
    Abstract: A method and system of location specific processing on a substrate is described. The method comprises establishing a gas cluster ion beam (GCIB) according to a set of beam properties and measuring metrology data for a substrate. Thereafter, the method comprises determining at least one spatial gradient of the metrology data at one or more locations on the substrate and adjusting at least one beam property in the set of beam properties for the GCIB according to the determined at least one spatial gradient. Using the metrology data and the adjusted set of beam properties, correction data for the substrate is computed. Following the computing, the adjusted GCIB is applied to the substrate according to the correction data.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: October 30, 2012
    Assignee: TEL Epion Inc.
    Inventors: Ruairidh MacCrimmon, Nicolaus J. Hofmeester, Steven P. Caliendo
  • Patent number: 8293126
    Abstract: A method and system of location specific processing on a substrate is described. The method comprises acquiring metrology data for a substrate, and computing correction data for adjusting a first region of the metrology data on the substrate. Thereafter, a first gas cluster ion beam (GCIB) for treating the high gradient regions is established, and the first GCIB is applied to the substrate according to the correction data. The method further comprises optionally acquiring second metrology data following the applying of the first GCIB, and computing second correction data for adjusting a second region of the metrology data, or the second metrology data, or both on the substrate. Thereafter, a second gas cluster ion beam (GCIB) for treating the second region is established, and the second GCIB is applied to the substrate according to the second correction data.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: October 23, 2012
    Assignee: TEL Epion Inc.
    Inventors: Ruairidh MacCrimmon, Nicolaus J. Hofmeester, Steven P. Caliendo
  • Patent number: 8282848
    Abstract: A plasma processing apparatus includes: a film which is made of an insulative material and constructs a surface of a sample stage on which a sample is put; a disk-shaped member whose upper surface is joined with the film in a lower portion of the film and which is made of a heat conductive member; heaters which are arranged in the film and arranged in a center portion and regions of its outer peripheral side of the film; coolant channels which are arranged in the disk-shaped member and in which a coolant for cooling the disk-shaped member flows; a plurality of power sources each of which adjusts an electric power to each of the heaters in the plurality of regions; and a controller which adjusts outputs from the plurality of power sources by using a result obtained by presuming a temperature of the upper surface of the disk-shaped member.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: October 9, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yutaka Ohmoto, Mamoru Yakushiji, Yutaka Kouzuma, Ken Yoshioka, Tsunehiko Tsubone
  • Patent number: 8282849
    Abstract: An etching process state judgment method comprising: a spectral data obtaining step, in which an optical emission spectrum distribution is obtained by monitoring optical emission during an etching process of a plurality of wafers; a peak detection step, in which peaks are detected from the optical emission spectrum distribution at a specific time point during the etching process, to obtain peak characteristics; a common peak identifying step, in which peaks common to the wafers are identified among the peaks detected in the peak detection step; and a state detection step, in which the characteristics are compared regarding the common peaks, to detect a state of each wafer in the etching process. A state (anomaly or normalcy) of an etching process is detected from optical emission spectrum distribution at the time of etching process, by a simple method without assuming substances.
    Type: Grant
    Filed: April 3, 2009
    Date of Patent: October 9, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Toshihiro Morisawa, Shoji Ikuhara, Akira Kagoshima, Daisuke Shiraishi
  • Publication number: 20120241410
    Abstract: A process for treating a target region of a component surface with a treatment fluid including: a) determining an amount of treatment fluid required to treat the target region; b) feeding the determined amount of treatment fluid to a treatment device; c) continuously circulating the treatment fluid through an applicator of the treatment device whilst applying the applicator to the target region; and d) discarding the treatment fluid once the target region of the component surface has been treated. An apparatus for treating a target region of a component surface with a treatment fluid is also disclosed and which includes: a treatment fluid reservoir; a treatment device, operable to receive treatment fluid from the treatment fluid reservoir and to apply treatment fluid to the component; a holding fixture for supporting the component; and a sealable enclosure containing the treatment fluid reservoir, the treatment device and the holding fixture.
    Type: Application
    Filed: March 13, 2012
    Publication date: September 27, 2012
    Applicant: ROLLS-ROYCE PLC
    Inventors: David W. MILLS, Daniel CLARK
  • Patent number: 8273260
    Abstract: A method of etching a semiconductor wafer is provided. The method comprises the steps of: jetting a mixed gas including hydrogen fluoride and ozone onto a surface of a semiconductor wafer; monitoring the surface of the semiconductor wafer; analyzing the surface of the semiconductor wafer; and adjusting at least one of the hydrogen fluoride concentration and the ozone concentration in the mixed gas based on a result of the analysis.
    Type: Grant
    Filed: May 13, 2009
    Date of Patent: September 25, 2012
    Assignee: Sumco Techxiv Corporation
    Inventors: Kazuaki Kozasa, Tomonori Kawasaki
  • Patent number: 8273259
    Abstract: Ashing of organic material is conducted initially at a low temperature and then at a high temperature. A low flow rate of ashing gas maximizes ashing rate at the low temperature, and a high flow rate of ashing gas maximizes ashing rate at a high temperature. Preferably, a crossover temperature of a particular organic material in a given ashing system is determined, the crossover temperature characterized in that below the crossover temperature, a decrease in ashing gas flow rate results in an increase of ashing rate, and above the crossover temperature, an increase in ashing gas flow rate results in an increase of ashing rate.
    Type: Grant
    Filed: January 17, 2009
    Date of Patent: September 25, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Huatan Qiu, David Wingto Cheung
  • Patent number: 8257602
    Abstract: In a system and method of etching a sample disposed in an etching chamber, a plurality of separately stored charges of an etching gas is discharged, one at a time, into a sample etching chamber. The discharge of each charge of etching gas occurs such that a momentary overlap exists in the end discharge of one charge of etching gas with the beginning discharge of another charge of etching gas, whereupon the desired flow of etching gas into the etching chamber is maintained. During discharge of one charge of etching gas, a previously discharged charge of etching gas is recharged. The process of discharging a plurality of separately stored charges of an etching gas, one at a time, and recharging at least one previously discharged charges of etching gas during the discharge of at least one charge of etching gas continues until the sample is etched to a desired extent.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: September 4, 2012
    Assignee: Xactix, Inc.
    Inventors: Kyle S. Lebouitz, David L. Springer
  • Patent number: 8261368
    Abstract: Devices for performing nanofabrication are provided which provide small volume reaction space and high reaction versatility. A device may include a reaction chamber adapted for nanoscale modification of a substrate and vacuum conditions; a scanning probe tip assembly enclosed within the reaction chamber; a first port coupled to the reaction chamber for delivering a gas; a second port coupled to the reaction chamber for applying a vacuum; and a substrate assembly insertedly mounted to the reaction chamber. The reaction chamber may include a body having one or more flexible walls and one or more supports to prevent the reaction chamber from collapsing under a vacuum. The device may further include an electrical conduit for coupling the tips of the scanning probe tip assembly to electrical components outside the reaction chamber. Also provided are apparatuses incorporating the devices and methods of using the devices and apparatuses.
    Type: Grant
    Filed: May 13, 2009
    Date of Patent: September 4, 2012
    Assignee: NanoInk, Inc.
    Inventors: John Edward Bussan, Michael R. Nelson, Joseph S. Fragala, Albert K. Henning, Jeffrey R. Rendlen