Relative Movement Between The Substrate And A Confined Pool Of Etchant Patents (Class 216/90)
  • Patent number: 8226841
    Abstract: The invention provides a chemical-mechanical polishing composition comprising alpha alumina, fumed alumina, silica, an oxidizing agent that oxidizes nickel-phosphorous, oxalic acid, optionally, tartaric acid, optionally, a nonionic surfactant, optionally, a biocide, and water. The invention also provides a method of chemically-mechanically polishing a substrate comprising contacting a substrate with a polishing pad and the chemical-mechanical polishing composition, moving the polishing pad and the polishing composition relative to the substrate, and abrading at least a portion of the substrate to polish the substrate.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: July 24, 2012
    Assignee: Cabot Microelectronics Corporation
    Inventors: Selvaraj Palanisamy Chinnathambi, Haresh Siriwardane
  • Patent number: 7964508
    Abstract: A portion of a conductive layer (310, 910) provides a capacitor electrode (310.0, 910.0). Dielectric trenches (410, 414, 510) are formed in the conductive layer to insulate the capacitor electrode from those portions of the conductive layer which are used for conductive paths passing through the electrode but insulated from the electrode. Capacitor dielectric (320) can be formed by anodizing tantalum while a nickel layer (314) protects an underlying copper (310) from the anodizing solution. This protection allows the tantalum layer to be made thin to obtain large capacitance. Chemical mechanical polishing of a layer (610) is made faster, and hence possibly less expensive, by first patterning the layer photolithographically to form, and/or increase in height, upward protrusions of this layer.
    Type: Grant
    Filed: August 21, 2008
    Date of Patent: June 21, 2011
    Assignee: Allvia, Inc.
    Inventors: Sergey Savastiouk, Valentin Kosenko, James J. Roman
  • Patent number: 7887713
    Abstract: A method includes forming a first electrode and a second electrode on a base body. The Method also includes chemically etching at least a portion of the base body to adjust a resistance of the base body measured between the first electrode and the second electrodes to a predetermined value.
    Type: Grant
    Filed: December 23, 2003
    Date of Patent: February 15, 2011
    Assignee: EPCOS AG
    Inventor: Christian Hesse
  • Publication number: 20110012313
    Abstract: The performance and durability of static and dynamic seals for hydrogen storage and supply systems has been improved by utilizing a spring-energized and plastic coated radial seal in combination with at least a mating surface that has been treated by one of a variety of procedures. These procedures include applying to the mating surface a low-friction, hard, and hydrogen impervious coating, chemically polishing the mating surface, and electrochemically polishing the mating surface. Each of these procedures significantly reduces, on a microscopic scale, the surface roughness of the mating surface. The seal can thus form a tighter and more gas-tight seal with the smoother mating surface so as to decrease the loss of hydrogen gas across the seal. The smoother mating surface can also improve seal life by reducing seal surface wear.
    Type: Application
    Filed: July 17, 2009
    Publication date: January 20, 2011
    Applicant: GM GLOBAL TECHNOLOGY OPERATIONS, INC.
    Inventors: Leonid C. Lev, Dimitri A. Podorashi, Michael J. Lukitsch, Thorsten Michler, Xingcheng Xiao
  • Publication number: 20110014428
    Abstract: There is provided a method of manufacturing a plate member including preparing a base plate member having main faces, and performing etching by immersing at least part of the base plate member in an etching liquid while controlling a lowering speed of a liquid surface of the etching liquid on the main faces of the base plate member to a desired lowering speed.
    Type: Application
    Filed: September 27, 2010
    Publication date: January 20, 2011
    Applicant: FURUKAWA ELECTRIC CO., LTD.
    Inventor: Toshihiro NAKAMURA
  • Patent number: 7851375
    Abstract: An alkali etchant for controlling surface roughness of a semiconductor wafer, which is a sodium hydroxide solution or a potassium hydroxide solution having a weight concentration of 55 wt % to 70 wt %.
    Type: Grant
    Filed: March 25, 2005
    Date of Patent: December 14, 2010
    Assignee: Sumco Corporation
    Inventors: Sakae Koyata, Kazushige Takaishi
  • Patent number: 7833428
    Abstract: Processes and apparatuses for producing a porous material, such as nano-porous silicon (npSi) media suitable for storage and retrieval of elemental hydrogen. Processes of this invention generally entail applying a magnetic field to a substrate that contains charge carriers and is in contact with an etchant, and then etching the substrate with the etchant while relative movement occurs between the substrate and the magnetic field. During etching, the charge carriers move relative to the substrate and the magnetic field, and porosity forms at surfaces of the substrate contacting the etchant.
    Type: Grant
    Filed: June 18, 2007
    Date of Patent: November 16, 2010
    Assignee: Packer Engineering, Inc.
    Inventors: John C. Christenson, Peter J. Schubert
  • Patent number: 7790052
    Abstract: A substrate receiving apparatus and a method thereof that prevents substrate damage from occurring when a substrate is loaded/unloaded on/from a cassette. The present invention includes a cassette having a plurality of plates inside so as to receive a plurality of substrates; a cassette loading unit uploading/downloading the cassette; a port supporting the cassette loading unit; and a plurality of auxiliary plates inserted inside the cassette from outside. The method includes downloading a cassette so as to move substrates from plates of the cassette to auxiliary plates, unloading and loading the substrates placed on the auxiliary plates from/on the cassette, and uploading the cassette so as to move the substrates from the auxiliary plates to the plates of the cassette.
    Type: Grant
    Filed: October 28, 2004
    Date of Patent: September 7, 2010
    Assignee: LG Display Co., Ltd.
    Inventor: Kap Ryol Park
  • Publication number: 20100176089
    Abstract: The invention is directed to a device for applying a fluid to a surface, the device comprising a first conduit for directing a flow of a first fluid towards the surface and a second conduit for directing a flow of a second fluid away from the surface, the first conduit being arranged relative to the second conduit such that in operation of the device the second fluid comprises substantially the first fluid, and wherein said first conduit comprises a first aperture and the second conduit comprises a second aperture, the first aperture arranged at a distance from the second aperture.
    Type: Application
    Filed: March 29, 2010
    Publication date: July 15, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Emmanuel Delamarche, David Juncker, Heinz Schmid
  • Patent number: 7686973
    Abstract: A wafer etching and impurity analysis method is presented in which a wafer is held in a vessel having gas introduction and exhaust ports, a solution including a mixture of hydrofluoric acid and nitric acid alone or together with sulfuric acid is bubbled with a carrier gas without being heated, which generates a gas containing vaporized hydrofluoric acid and nitric acid, and the inside of the vessel is purged so that the amount of gas supplied is kept constant at all times. All or a specific portion of the wafer is cooled to a specific temperature. Consequently, the gas is condensed on the surface of the wafer, which allows the required portion of the wafer to be etched. The method reduces the amount of liquid needed for residue recovery, the amount of admixed silicon during impurity analysis, and the concentration time.
    Type: Grant
    Filed: April 24, 2007
    Date of Patent: March 30, 2010
    Assignee: Sumitomo Mitsubishi Silicon Corporation
    Inventors: Katsuya Hirano, Hiroshi Horie
  • Publication number: 20090302003
    Abstract: An aqueous solution for polishing and deburring includes pure water; carboxylic acid of 200 gram per liter to 300 gram per liter; sulfuric acid ions of 200 gram per liter to 500 gram per liter; phosphoric acid ions of 100 gram per liter to 300 gram per liter; and nitric acid ions of 50 gram per liter to 200 gram per liter. Also, a process for polishing and deburring a part made of pure nickel or nickel-200 in the solution includes removing oily substance from the part; washing the part by water; pouring the solution into a bath and submerging the part in the solution so that the part is brought into contact with the solution; neutralizing the solution remained on the surface of the part to prevent the part from oxidizing; and drying the part to obtain a finished part.
    Type: Application
    Filed: June 5, 2008
    Publication date: December 10, 2009
    Inventors: Ching-An Huang, Chwen-Lin Shih
  • Publication number: 20090283499
    Abstract: An etching process for selectively etching exposed metal surfaces of a substrate and forming a conductive capping layer over the metal surfaces is described. In some embodiments, the etching process involves oxidation of the exposed metal to form a metal oxide that is subsequently removed from the surface of the substrate. The exposed metal may be oxidized by using solutions containing oxidizing agents such as peroxides or by using oxidizing gases such as those containing oxygen or ozone. The metal oxide produced is then removed using suitable metal oxide etching agents such as glycine. The oxidation and etching may occur in the same solution. In other embodiments, the exposed metal is directly etched without forming a metal oxide. Suitable direct metal etching agents include any number of acidic solutions. The process allows for controlled oxidation and/or etching with reduced pitting.
    Type: Application
    Filed: July 30, 2007
    Publication date: November 19, 2009
    Inventors: Steven T. Mayer, Daniel A. Koos, Eric Webb
  • Patent number: 7566404
    Abstract: An etchant for patterning composite layer containing copper is provided. The etchant includes peracetic acid being about 5% to 40% by weight and serving as a major component, a peracetic acid stabilizer being about 5% to 15% by weight, an organic acid being about 5% to 10% by weight, an inorganic acid being about 5% to 15% by weight, a salt being about 8% to 15% by weight, which are based on the total weight of the etchant.
    Type: Grant
    Filed: February 2, 2007
    Date of Patent: July 28, 2009
    Assignees: Taiwan TFT LCD Association, Chunghwa Picture Tubes, Ltd., Au Optronics Corporation, Hannstar Display Corporation, Chi Mei Optoelectronics Corporation, Industrial Technology Research Institute, TPO Display Corp.
    Inventors: Sai-Chang Liu, Cheng-Tzu Yang, Chien-Wei Wu, Shuo-Wei Liang
  • Patent number: 7527743
    Abstract: An apparatus and a method for etching insulating film prevents generation of spots by spraying etchant on a lower surface of the substrate as well as the upper surface.
    Type: Grant
    Filed: August 29, 2003
    Date of Patent: May 5, 2009
    Assignee: LG Display Co., Ltd.
    Inventors: Seok Won Lee, Sang Min Jang, Sang Kyu Kim
  • Patent number: 7521373
    Abstract: An improved composition and method for cleaning the surface of a semiconductor wafer are provided. The composition can be used to selectively remove a low-k dielectric material such as silicon dioxide, a photoresist layer overlying a low-k dielectric layer, or both layers from the surface of a wafer. The composition is formulated according to the invention to provide a desired removal rate of the low-k dielectric and/or photoresist from the surface of the wafer. By varying the fluorine ion component, and the amounts of the fluorine ion component and acid, component, and controlling the pH, a composition can be formulated in order to achieve a desired low-k dielectric removal rate that ranges from slow and controlled at about 50 to about 1000 angstroms per minute, to a relatively rapid removal of low-k dielectric material at greater than about 1000 angstroms per minute.
    Type: Grant
    Filed: July 12, 2004
    Date of Patent: April 21, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Donald L Yates
  • Patent number: 7513986
    Abstract: A method and a device for locally removing coatings from components. An absorbent medium supplied with a coating removal liquid is brought into contact with one or more areas of a component from which a coating is to be removed.
    Type: Grant
    Filed: April 19, 2002
    Date of Patent: April 7, 2009
    Assignee: MTU Aero Engines GmbH
    Inventors: Anton Albrecht, Armin Eberlein, Karsten Gold, Albert Schneider
  • Patent number: 7494597
    Abstract: Disclosed are a method and apparatus for etching disk-shaped members, especially a method and apparatus for etching semiconductor wafers. In a method wherein wafers (30) are rotated and etched in an etching chamber (12) which is filled with an etching solution, a non-rotating cell plate (26) is disposed between two rotating wafers (30). In an etching apparatus wherein multiple wafers (30) are supported and rotated by a rod (16), the cell plate (26) is disposed between each two wafers (30). The cell plate (26) has a surface area roughly equivalent to that of the wafer (30).
    Type: Grant
    Filed: July 29, 2004
    Date of Patent: February 24, 2009
    Assignee: Sumco Techxiv Corporation
    Inventors: Tadamitsu Miyazaki, Kazuya Hirayama, Hisaya Fukunaga, Hiroyasu Futamura
  • Publication number: 20080286701
    Abstract: An etching composition, particularly for kinetically controlled etching of copper and copper alloy surfaces; a process for etching copper and copper alloys, particularly for etching at high rates to provide uniform and smooth, isotropic surfaces; an etched copper or copper alloy surface obtained by the process; and a process for generating copper or copper alloy electrical interconnects or contact pads. The etching composition and etching processes provide a smooth, isotropic fast etch of copper and copper alloys for semiconductor fabrication and packaging.
    Type: Application
    Filed: May 17, 2007
    Publication date: November 20, 2008
    Applicant: IBM CORPORATION (YORKTOWN)
    Inventors: David L. Rath, Emanuel I. Cooper
  • Patent number: 7449124
    Abstract: A method for polishing a wafer comprising an aqueous solution having a pH in the range of 6 to 8, wherein the aqueous solution comprises at least one compound selected from the group consisting of a polymethacrylic acid, a polysulfonic acid, and combinations thereof, and wherein the compound is present in the range of 1.5 to 4 percent by weight of the aqueous solution. The wafer polishing solution can be adjusted to control cut rate and selectivity for modifying semiconductor wafers using a fixed abrasive CMP process.
    Type: Grant
    Filed: February 24, 2006
    Date of Patent: November 11, 2008
    Assignee: 3M Innovative Properties Company
    Inventors: Richard J. Webb, John C. Clark, Christopher J. Rueb, John J. Gagliardi
  • Patent number: 7425278
    Abstract: An etchant which includes an aqueous solution of between about 30% and about 38% concentrated hydrogen peroxide, said percentages being by volume, based on the total volume of the solution; between about 3.5 ml and about 20 ml per liter of phosphoric acid; and an amount of potassium hydroxide to adjust the pH of the solution to between about 7.8 and about 9.1. The etchant is useful in removing a layer of an alloy of titanium and tungsten or a layer of tungsten from a precision surface.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: September 16, 2008
    Assignee: International Business Machines Corporation
    Inventors: Krystyna Waleria Semkow, Anurag Jain, Kamalesh K. Srivastava
  • Patent number: 7387963
    Abstract: A semiconductor wafer has an edge region with no defects larger than or equal to 0.3 ?m. The wafers are produced by a process, comprising (a) providing a semiconductor wafer having a rounded and etched edge; (b) polishing the edge of the semiconductor wafer, in which step the semiconductor wafer, which is held on a centrally rotating chuck and projects beyond the chuck and at least one polishing drum which is inclined by a specific angle with respect to the chuck, rotates centrally and is covered with a polishing cloth, are moved toward one another and pressed onto one another under a specific contact pressure with a polishing abrasive being supplied continuously; (c) cleaning the semiconductor wafer; (d) inspecting an edge region of the semiconductor wafer using an inspection unit; and (e) further processing the semiconductor wafer.
    Type: Grant
    Filed: July 17, 2006
    Date of Patent: June 17, 2008
    Assignee: Siltronic AG
    Inventors: Rudolf Rupp, Werner Aigner, Friedrich Passek
  • Publication number: 20080102294
    Abstract: Metallic powder particles disperse in paste matrix made of resin material. The metallic powder particles each defines a dissolved surface layer having reacted with acid solution. The metallic powder particles having reacted with acid solution is allowed to have a high electrical conductivity. The electrically conductive paste containing the mentioned metallic powder particles is also allowed to exhibit a sufficiently high electrical conductivity. The electrically conductive paste can thus be used for establishment of a fine wiring pattern and establishment of a wiring pattern for a high speed signal, for example. Moreover, the electrically conductive paste can easily be applied to a resin sheet in a predetermined pattern based on silk-screen printing, for example. The electrically conductive paste can be employed in various applications.
    Type: Application
    Filed: April 24, 2007
    Publication date: May 1, 2008
    Applicant: FUJITSU LIMITED
    Inventors: Masayuki Kitajima, Yutaka Noda
  • Publication number: 20080099430
    Abstract: A method and apparatus are provided to decrease the diameter of the end of an optical fiber in order to make it possible to arrange optical fibers in an array with very high pitch. Also provided is an optical device comprising a plurality of optical fibers, each fiber formed of a body having a first diameter and an external coating, wherein end portions of the fibers have no external coating thereon and have a second diameter which is smaller than the first diameter.
    Type: Application
    Filed: October 30, 2006
    Publication date: May 1, 2008
    Inventors: David Brooks, Eli Arad, Serge Steinblatt
  • Patent number: 7364666
    Abstract: Disclosed is a method for making flexible circuits in which portions of a tie layer are removed by etching the underlying polymer. Also disclosed are flexible circuits made by this method.
    Type: Grant
    Filed: December 6, 2005
    Date of Patent: April 29, 2008
    Assignee: 3M Innovative Properties Company
    Inventors: Sridhar V. Dasaratha, James S. McHattie, James R. Shirck, Hideo Yamazaki, Yuji Hiroshige, Makoto Sekiguchi
  • Patent number: 7314575
    Abstract: A method for manufacturing a glass substrate for a magnetic disk comprises mirror surface polishing and cleaning of a glass substrate, wherein polishing agent of which the principal component is rare-earth oxide with content of fluorine 5% by weight or less, is supplied to the glass substrate, the surface of the glass substrate is subjected to mirror surface polishing by relatively moving the polishing cloth and the glass substrate, then this glass substrate is brought into contact with a cleaning solution including ascorbic acid, fluorine ion, and sulfuric acid of 3% by weight or more, and the polishing agent is dissolved and removed. The concentration of the ascorbic acid included in the cleaning solution is 0.1% by weight or more, and the content of the fluorine ion is 1 ppm to 40 ppm. At least a magnetic layer is formed on the obtained glass substrate to manufacture a magnetic disk.
    Type: Grant
    Filed: September 29, 2005
    Date of Patent: January 1, 2008
    Assignees: Hoya Corporation, Hoya Glass Disk (thailand) Ltd.
    Inventors: Yoshinori Marumo, Intanon Sitalaphruek
  • Patent number: 7307023
    Abstract: A method for polishing a Cu film comprises contacting a Cu film formed above a semiconductor substrate with a polishing pad attached to a turntable, and supplying a first chemical liquid which promotes the polishing of the Cu film and a second chemical liquid which contains a surfactant, to the polishing pad while the turntable being rotated, thereby polishing the Cu film, while monitoring at least one of a table current of the turntable and a surface temperature of the polishing pad to detect a change in at least one of the table current of the turntable and the surface temperature of the polishing pad. The supply of the second chemical liquid is controlled in conformity with the change.
    Type: Grant
    Filed: October 2, 2006
    Date of Patent: December 11, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Dai Fukushima, Gaku Minamihaba, Hiroyuki Yano, Susumu Yamamoto
  • Patent number: 7291283
    Abstract: A combined wet etching method for stacked films which is capable of performing etching processes in a collective manner while controlling an amount of side-etching on each of stacked films and of making uniform side edges. In the wet etching method, two or more types of etching methods are performed in combination, on stacked films containing first and second films being deposited sequentially on a substrate and each having a different film property. The two or more types of wet etching methods include, at least, a first wet etching method in which side-etching on the first film is facilitated more than side-etching on the second film and a second wet etching method in which side-etching on the second film is facilitated more than side-etching on the first film.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: November 6, 2007
    Assignee: NEC LCD Technologies, Ltd.
    Inventors: Tadanori Uesugi, Shigeru Kimura
  • Patent number: 7291282
    Abstract: The present invention provides a method of fabricating an imprint mold for molding a structure. The method includes directing a first and a second flux for forming a first material and a second material, respectively, to a substrate to form a layered structure having alternating layers of the first and the second material. The method also includes controlling a thickness of the first and the second layers by controlling the first and the second flux and cleaving the layered structure to form a cleavage face in which sections of the layers are exposed. The method further includes etching the exposed sections of the layers using a etch procedure that predominantly etches one of the first and the second materials to form the mold having an imprinting surface with at least one indentation for molding the structure. At least one of the fluxes is controlled so that at least one of the layers has a thickness that varies along a portion of a length of the at least one layer.
    Type: Grant
    Filed: March 1, 2005
    Date of Patent: November 6, 2007
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: William M. Tong
  • Patent number: 7288207
    Abstract: A method for manufacturing a silicon wafer includes a planarizing process 13 for polishing or lapping the upperside and lowerside surfaces of a thin disk-shaped silicon wafer obtained by slicing a silicon single crystal ingot, an etching process for dipping the silicon wafer into the etching liquid wherein silica powder is dispersed uniformly in an alkali aqueous solution, thereby etching the upperside and lowerside surfaces of the silicon wafer, and a both-side simultaneous polishing process 16 for polishing the upperside and lowerside surfaces of the etched silicon wafer simultaneously or a one-side polishing process for polishing the upperside and lowerside surfaces of the etched silicon wafer one after another, in this order.
    Type: Grant
    Filed: January 31, 2006
    Date of Patent: October 30, 2007
    Assignee: Sumco Corporation
    Inventors: Sakae Koyata, Yuichi Kakizono, Tomohiro Hashii, Katsuhiko Murayama
  • Publication number: 20070235132
    Abstract: Provided is a photoresist (PR) stripping apparatus that enables the recycling of a photoresist stripper and utilizes a continuous filtering action during a filter operation. The PR-stripping apparatus includes a PR stripping tank for receiving a substrate having a PR pattern is disposed and for stripping of the PR pattern, a PR stripper recovery pipe for recovering a PR stripper from the PR stripping tank two or more filter units for filtering the PR stripper returned by the PR striper recovery pipe, and a PR stripper supply pipe for supplying the filtered PR stripper to the PR stripping tank. The two or more filter units are connected in parallel to each other between the PR stripper recovery pipe and the PR stripper supply pipe.
    Type: Application
    Filed: March 23, 2007
    Publication date: October 11, 2007
    Inventors: Hong-sick Park, Jong-hyun Choung, Sun-young Hong, Bong-kyun Kim, Won-suk Shin, Byeong-jin Lee
  • Patent number: 7279115
    Abstract: A method is disclosed for preparing a substrate and epilayer for reducing stacking fault nucleation and reducing forward voltage (Vf) drift in silicon carbide-based bipolar devices. The method includes the steps of etching the surface of a silicon carbide substrate with a nonselective etch to remove both surface and subsurface damage, thereafter etching the same surface with a selective etch to thereby develop etch-generated structures from at least any basal plane dislocation reaching the substrate surface that will thereafter tend to either terminate or propagate as threading defects during subsequent epilayer growth on the substrate surface, and thereafter growing a first epitaxial layer of silicon carbide on the twice-etched surface.
    Type: Grant
    Filed: March 27, 2006
    Date of Patent: October 9, 2007
    Assignee: Cree, Inc.
    Inventor: Joseph John Sumakeris
  • Patent number: 7276449
    Abstract: A method for moving resist stripper across the surface of a semiconductor substrate includes applying a wet chemical resist stripper, such as an organic or oxidizing wet chemical resist stripper, to at least a portion of a photomask positioned over the semiconductor substrate. A carrier fluid, such as a gas, is then directed toward the semiconductor substrate so as to move the resist stripper across the substrate. The carrier fluid may be directed toward the substrate as the resist stripper is being applied thereto or following application of the resist stripper. A system for effecting the method is also disclosed.
    Type: Grant
    Filed: January 13, 2005
    Date of Patent: October 2, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Terry L. Gilton
  • Publication number: 20070205179
    Abstract: A method of manufacturing a liquid crystal display device includes forming an assembly by sealing a gap between outer circumferential regions of two glass substrates, which are positioned to face each other, with an outer circumferential sealing member. The assembly is dipped in an etching solution contained in an etching vessel, thereby etching the two glass substrates of the assembly for a time corresponding to a predetermind etching thickness of each of the two glass substrates, so as to decrease the thickness of each of the two glass substrates, while the temperature and the concentration of the etching solution contained in the etching vessel are maintained constant.
    Type: Application
    Filed: September 26, 2006
    Publication date: September 6, 2007
    Applicants: CASIO COMPUTER CO., LTD., SANWA FROST INDUSTRY CO., LTD., NAGASE & CO., LTD.
    Inventor: Toshiharu Nishino
  • Patent number: 7252782
    Abstract: A chemical mechanical polishing aqueous dispersion comprises abrasives (A) containing ceria, an anionic water-soluble polymer (B) and a cationic surfactant (C), wherein the amount of the anionic water-soluble polymer (B) is in the range of 60 to 600 parts by mass based on 100 parts by mass of the abrasives (A) containing ceria, and the amount of the cationic surfactant (C) is in the range of 0.1 to 100 ppm based on the whole amount of the chemical mechanical polishing aqueous dispersion.
    Type: Grant
    Filed: January 21, 2005
    Date of Patent: August 7, 2007
    Assignee: JSR Corporation
    Inventors: Norihiko Ikeda, Kazuo Nishimoto, Masayuki Hattori, Nobuo Kawahashi
  • Patent number: 7182821
    Abstract: Disclosed is a substrate processing method including a substrate rotating step for rotating a substrate with the substrate held almost horizontally within a chamber; a peripheral edge processing step for discharging a processing liquid to a lower surface of the substrate rotated in the substrate rotating step and causing the processing liquid to flow around an upper surface of the substrate at a peripheral edge thereof from the lower surface of the substrate to process the peripheral edge of the upper surface of the substrate in the chamber; and a both-surface processing step for discharging the processing liquid to both the surfaces of the substrate rotated in the substrate rotating step to process both the surfaces of the substrate in the chamber.
    Type: Grant
    Filed: April 14, 2003
    Date of Patent: February 27, 2007
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Akira Izumi, Katsuhiko Miya
  • Patent number: 7122126
    Abstract: A wet processing apparatus and method that takes advantage of a fluid meniscus to process at least a portion of a surface of an object. After one surface of the object has been processed another side or surface of the object can be similarly processed. This processing can be coating, etching, plating, to name a few. An application of the apparatus and method is in the semiconductor processing industry, especially, the processing of wafers and substrates. The method and apparatus also allows the processing of multiple surfaces of an electronic component.
    Type: Grant
    Filed: September 28, 2000
    Date of Patent: October 17, 2006
    Assignee: Materials and Technologies Corporation
    Inventor: Ricardo I. Fuentes
  • Patent number: 7094131
    Abstract: A microelectronic substrate and method for removing conductive material from a microelectronic substrate. In one embodiment, the microelectronic substrate includes a conductive or semiconductive material with a recess having an initially sharp corner at the surface of the conductive material. The corner can be blunted or rounded, for example, by applying a voltage to an electrode in fluid communication with an electrolytic fluid disposed adjacent to the corner. Electrical current flowing through the corner from the electrode can oxidize the conductive material at the corner, and the oxidized material can be removed with a chemical etch process.
    Type: Grant
    Filed: June 21, 2001
    Date of Patent: August 22, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Whonchee Lee, Scott G. Meikle, Scott E. Moore
  • Patent number: 7090786
    Abstract: The aqueous dispersion comprising (A) abrasive grains, (B) at least one compound selected from the group consisting of 2-bromo-2-nitro-1,3-propanediol, 2-bromo-2-nitro-1, 3-butanediol, 2,2-dibromo-2-nitroethanol, and 2,2-dibromo-3-nitrilopropionamide, and (C) an organic component other than the compounds of component (B) is disclosed. The aqueous dispersion has no problem of rotting even if stored or used in a neutral pH region and produces an excellent polished surface with almost no dishing or scratches, when applied particularly to the STI process for manufacturing of semiconductor devices.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: August 15, 2006
    Assignee: JSR Corporation
    Inventors: Masayuki Hattori, Nobuo Kawahashi
  • Patent number: 7063800
    Abstract: The present invention sets forth an improved method of microetching a metal substrate by contacting the substrate with an aqueous composition comprising a sodium persulfate or hydrogen peroxide oxidizing agent, acid, and one or more additives. When the oxidizing agent is sodium persulfate, the one or more additives generally comprise an aliphatic saturated dicarboxylic acid. When the oxidizing agent is hydrogen peroxide, the one or more additives generally comprise a stabilizer and amino tris(methylene phosphonic acid).
    Type: Grant
    Filed: November 10, 2003
    Date of Patent: June 20, 2006
    Inventors: Ying Ding, Ronald N. Redline, Richard C. Retallick, Mark Wojtaszek
  • Patent number: 7056447
    Abstract: Embodiments in accordance with the present invention provide for removing organic materials from substrates, for example substrates employed in the fabrication of integrated circuits, liquid crystal displays and the like. Such embodiments also provide for forming self-limiting oxide layers on oxidizable materials disposed on such substrates where such materials are exposed to the methods of the present invention. The methods of the present invention provide for contacting substrates with a solution of ozone, water and a surfactant, the solution being effective for removing organic materials and forming self-limiting oxide layers on oxidizable materials.
    Type: Grant
    Filed: September 26, 2003
    Date of Patent: June 6, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Terry L. Gilton
  • Patent number: 7022244
    Abstract: To supply microfine liquid droplets to a microscopic space for enabling micromachining and provide a method and an apparatus for forming the microfine liquid droplets, there is provided a method and an apparatus for generating liquid fine particles, comprising atomizing a liquid, fractionating the atomized liquid particles to form microfine liquid droplets by inertial fractionation and contacting the microfine liquid droplets with a heated carrier gas, thereby thermally drying the liquid particles to form finer particles.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: April 4, 2006
    Assignee: DENSO Corporation
    Inventors: Atusi Sakaida, Toshihisa Taniguchi, Hiroshi Tanaka
  • Patent number: 7018554
    Abstract: A method is disclosed for preparing a substrate and epilayer for reducing stacking fault nucleation and reducing forward voltage (Vf) drift in silicon carbide-based bipolar devices. The method includes the steps of etching the surface of a silicon carbide substrate with a nonselective etch to remove both surface and sub-surface damage, thereafter etching the same surface with a selective etch to thereby develop etch-generated structures from at least any basal plane dislocation reaching the substrate surface that will thereafter tend to either terminate or propagate as threading defects during subsequent epilayer growth on the substrate surface, and thereafter growing a first epitaxial layer of silicon carbide on the twice-etched surface.
    Type: Grant
    Filed: September 22, 2003
    Date of Patent: March 28, 2006
    Assignee: Cree, Inc.
    Inventor: Joseph John Sumakeris
  • Patent number: 6936183
    Abstract: A two-step method of releasing microelectromechanical devices from a substrate is disclosed. The first step comprises isotropically etching a silicon oxide layer sandwiched between two silicon-containing layers with a gaseous hydrogen fluoride-water mixture, the overlying silicon layer to be separated from the underlying silicon layer or substrate for a time sufficient to form an opening but not to release the overlying layer, and the second step comprises adding a drying agent to substitute for moisture remaining in the opening and to dissolve away any residues in the opening that can cause stiction.
    Type: Grant
    Filed: October 8, 2002
    Date of Patent: August 30, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Jeffrey D. Chinn, Sofiane Soukane
  • Patent number: 6884361
    Abstract: A method for making a substrate for a mirror used in photolithography is described. That method comprises forming a crystalline layer on a first layer, which has a low coefficient of thermal expansion. Part of the crystalline layer is then removed to form on the first layer a second layer that has a high quality surface finish.
    Type: Grant
    Filed: September 26, 2001
    Date of Patent: April 26, 2005
    Assignee: Intel Corporation
    Inventor: Michael Goldstein
  • Patent number: 6869889
    Abstract: A metal carbide film may be etched in an etchant bath using sonication. The sonication may drive the reaction and, particularly, the gaseous byproducts in the form of carbon dioxide. Thus, the use of sonication invokes a favorable equilibrium to pattern metal carbide films, for example, for use as metal gate electrodes.
    Type: Grant
    Filed: April 7, 2004
    Date of Patent: March 22, 2005
    Assignee: Intel Corporation
    Inventors: Justin K. Brask, Jack Kavalieros, Mark L. Doczy, Matthew V. Metz, Suman Datta, Uday Shah, Terence Bacuita, Robert S. Chau
  • Patent number: 6861005
    Abstract: Polysilicon formed over an underlying insulator may be highly selectively etched. Therefore, polysilicon may be selectively etched using tetraalkylammonium hydroxide or NH4OH to define a nitride waveguide. The resulting nitride waveguide may have smoother surfaces resulting in less loss of light intensity as light travels through the nitride waveguide.
    Type: Grant
    Filed: July 31, 2002
    Date of Patent: March 1, 2005
    Assignee: Intel Corporation
    Inventor: Justin K. Brask
  • Patent number: 6861007
    Abstract: Embodiments in accordance with the present invention provide for removing organic materials from substrates, for example substrates employed in the fabrication of integrated circuits, liquid crystal displays and the like. Such embodiments also provide for forming self-limiting oxide layers on oxidizable materials disposed on such substrates where such materials are exposed to the methods of the present invention. The methods of the present invention provide for contacting substrates with a solution of ozone, water and a surfactant, the solution being effective for removing organic materials and forming self-limiting oxide layers on oxidizable materials.
    Type: Grant
    Filed: March 2, 2001
    Date of Patent: March 1, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Terry L. Gilton
  • Patent number: 6831307
    Abstract: An object of the present invention is to provide a novel semiconductor mounting system having a semiconductor mounting member, a metal member and a joining layer joining the mounting and metal members, to improve the flatness of a mounting surface and to control the temperature on the surface of a semiconductor. A semiconductor mounting system 12 has a semiconductor mounting member 1, a metal member 7 and a joining layer 27 joining the mounting member 1 and metal member 7. The metal member 1 has a surface mounting a semiconductor. The adhesive sheet 4 has a resin matrix 11 and a filler 10 dispersed in the resin matrix 11.
    Type: Grant
    Filed: February 25, 2003
    Date of Patent: December 14, 2004
    Assignee: NGK Insulators, Ltd.
    Inventor: Tomoyuki Fujii
  • Patent number: 6802911
    Abstract: A method of cleaning damaged layers and polymer residue on semiconductor devices includes mixing HF and ozone water in a vessel to form a solution of HF and ozone water, and dipping a semiconductor device in the vessel containing the solution of HF and ozone water. Preferably, ozone water is subsequently introduced into the vessel to replace the solution of HF and ozone water in the vessel.
    Type: Grant
    Filed: September 19, 2001
    Date of Patent: October 12, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Keum Joo Lee, Yong Sun Ko, In Seak Hwang
  • Patent number: 6798128
    Abstract: A nickel alloy for the manufacture of cathodes for cathode-ray tubes, comprises magnesium and aluminium in proportions chosen so as to allow good adhesion of an emissive oxide layer to the basis metal cap consisting of the alloy.
    Type: Grant
    Filed: April 25, 2001
    Date of Patent: September 28, 2004
    Assignee: Thomson Licensing S.A.
    Inventors: Jean-Michel Roquais, Fabian Poret, RĂ©gine Le Doze, Marie Paul