Mos-gate Structure (epo) Patents (Class 257/E21.177)
  • Patent number: 7534675
    Abstract: Techniques for the fabrication of field-effect transistors (FETs) having nanowire channels are provided. In one aspect, a method of fabricating a FET is provided comprising the following steps. A substrate is provided having a silicon-on-insulator (SOI) layer. At least one nanowire is deposited over the SOI layer. A sacrificial gate is formed over the SOI layer so as to cover a portion of the nanowire that forms a channel region. An epitaxial semiconductor material is selectively grown from the SOI layer that covers the nanowire and attaches the nanowire to the SOI layer in a source region and in a drain region. The sacrificial gate is removed. An oxide is formed that divides the SOI layer into at least two electrically isolated sections, one section included in the source region and the other section included in the drain region. A gate dielectric layer is formed over the channel region. A gate is formed over the channel region separated from the nanowire by the gate dielectric layer.
    Type: Grant
    Filed: September 5, 2007
    Date of Patent: May 19, 2009
    Assignee: International Business Machiens Corporation
    Inventors: Sarunya Bangsaruntip, Guy Moshe Cohen, Katherine Lynn Saenger
  • Publication number: 20090115003
    Abstract: A method for fabricating a semiconductor device includes forming a stacked layer including a tungsten layer, forming a hard mask pattern over the stacked layer, and oxidizing a surface of the hard mask pattern to form a stress buffer layer. A portion of the stacked layer uncovered by the hard mask pattern is removed using the hard mask pattern and the stress buffer layer as an etch mask, thereby forming a first resultant structure. A capping layer is formed over the first resultant structure, the capping layer is etched to retain the capping layer on sidewalls of the first resultant structure, and the remaining portion of the stacked layer uncovered by the hard mask pattern is removed.
    Type: Application
    Filed: December 28, 2007
    Publication date: May 7, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventors: Min-Gyu SUNG, Heung-Jae Cho, Kwan-Yong Lim
  • Publication number: 20090114998
    Abstract: A first MIS transistor is formed in a low voltage transistor formation region and includes a gate insulating film and a first gate electrode composed of a metal film and a polycrystalline silicon film. A second MIS transistor is formed in a high voltage transistor formation region and includes a gate insulating film and a second gate electrode composed of a polycrystalline silicon film. An equivalent oxide thickness of the gate insulating film formed in the low voltage transistor formation region is thinner than an equivalent oxide thickness of the gate insulating film formed in the high voltage transistor formation region. A level of the surface of a semiconductor substrate in the low voltage transistor formation region is higher than a level of the surface of a semiconductor substrate in the high voltage transistor formation region.
    Type: Application
    Filed: October 30, 2008
    Publication date: May 7, 2009
    Inventor: Yoshiya MORIYAMA
  • Publication number: 20090108368
    Abstract: A gate electrode of one of an nFET and a pFET includes a metal-containing layer in contact with a gate insulating film and a first silicon-containing layer formed on the metal-containing layer, and a gate electrode of the other FET includes a second silicon-containing layer in contact with a gate insulating film and a third silicon-containing layer formed on the second silicon-containing layer. The first silicon-containing layer and the third silicon-containing layer are formed by the same silicon-containing material film.
    Type: Application
    Filed: October 9, 2008
    Publication date: April 30, 2009
    Inventors: Kenshi KANEGAE, Takayuki Yamada
  • Publication number: 20090111256
    Abstract: A method for fabricating a semiconductor device includes forming a pattern including a first layer including tungsten, performing a gas flowing process on the pattern in a gas ambience including nitrogen, and forming a second layer over the pattern using a source gas including nitrogen, wherein the purge is performed at a given temperature for a given period of time in a manner that a reaction between the first layer and the nitrogen used when forming the second layer is controlled.
    Type: Application
    Filed: June 30, 2008
    Publication date: April 30, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventors: Min-Gyu SUNG, Hong-Seon Yang, Tae-Kwon Lee, Won Kim, Kwan-Yong Lim, Seung-Ryong Lee
  • Publication number: 20090108294
    Abstract: A stack comprising a dielectric interface layer, a high-k gate dielectric layer, a group IIA/IIIB element layer is formed in that order on a semiconductor substrate. A metal aluminum nitride layer and, optionally, a semiconductor layer are formed on the stack. The stack is annealed at a raised temperature, e.g., at about 1,000° C. so that the materials in the stack are mixed to form a mixed high-k gate dielectric layer. The mixed high-k gate dielectric layer is doped with a group IIA/IIIB element and aluminum, and has a lower effective oxide thickness (EOT) than a conventional gate stack containing no aluminum. The inventive mixed high-k gate dielectric layer is amenable to EOT scaling due to the absence of a dielectric interface layer, which is caused by scavenging, i.e. consumption of any dielectric interface layer, by the IIA/IIB elements and aluminum.
    Type: Application
    Filed: October 30, 2007
    Publication date: April 30, 2009
    Applicants: International Business Machines Corporation, Advanced Micro Devices, Inc., Sony Electronics Inc.
    Inventors: Changhwan Choi, Takashi Ando, Kisik Choi, Vijay Narayanan
  • Publication number: 20090098705
    Abstract: A method of fabricating a semiconductor device according to one embodiment includes: forming a gate electrode by shaping a semiconductor film formed above a semiconductor substrate; forming a protective film on a side face of the gate electrode by plasma discharge of a first gas or a second gas, the first gas containing at least one of HBr, Cl2, CF4, SF6, and NF3 in addition to O2 and a flow rate of O2 therein being greater than 80% of the total of the entire flow rate, and the second gas containing at least one of HBr, Cl2, CF4, SF6, and NF3 in addition to O2 and N2 and a flow rate of sum of O2 and N2 therein being greater than 80% of the total of the entire flow rate; and removing a residue of the semiconductor film above the semiconductor substrate after forming the protective film.
    Type: Application
    Filed: October 15, 2008
    Publication date: April 16, 2009
    Inventor: Tomoya SATONAKA
  • Publication number: 20090090985
    Abstract: A semiconductor device includes a substrate having an active region and an isolation region, a gate pattern crossing both the active region and the isolation region of the substrate, and a protrusion having a surface higher than that of the substrate over at least an edge of the active region contacting a portion of the isolation region under the gate pattern.
    Type: Application
    Filed: June 29, 2008
    Publication date: April 9, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Ho-Ung KIM
  • Publication number: 20090087944
    Abstract: Electronic devices with hybrid high-k dielectric and fabrication methods thereof. The electronic device includes a substrate. A first electrode is disposed on the substrate. Hybrid high-k multi-layers comprising a first dielectric layer and a second dielectric layer are disposed on the substrate, wherein the first dielectric layer and the second dielectric layer are solvable and substantially without interface therebetween. A second electrode is formed on the hybrid multi-layers.
    Type: Application
    Filed: December 11, 2008
    Publication date: April 2, 2009
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Wei-Ling Lin, Jiing-Fa Wen, Wen-Hsi Lee, Tarng-Shiang Hu, Jiun-Jie Wang, Cheng-Chung Lee
  • Patent number: 7510925
    Abstract: A method of manufacturing a semiconductor device includes: the first step of forming a gate electrode over a silicon substrate, with a gate insulating film; and the second step of digging down a surface layer of the silicon substrate by etching conducted with the gate electrode as a mask. The method of manufacturing the semiconductor device further includes the third step of epitaxially growing, on the surface of the dug-down portion of the silicon substrate, a mixed crystal layer including silicon and atoms different in lattice constant from silicon so that the mixed crystal layer contains an impurity with such a concentration gradient that the impurity concentration increases along the direction from the silicon substrate side toward the surface of the mixed crystal layer.
    Type: Grant
    Filed: April 25, 2007
    Date of Patent: March 31, 2009
    Assignee: Sony Corporation
    Inventor: Yuki Miyanami
  • Publication number: 20090079012
    Abstract: A semiconductor device and a method of fabricating the same are provided. The semiconductor device includes a plurality of active regions which are defined in a semiconductor substrate, a plurality of gate lines which are formed as zigzag lines, extend across the active regions, are symmetrically arranged, and define a plurality of first regions and a plurality of second regions therebetween, and wherein the first regions being narrower than the second regions. The semiconductor device further includes an insulation layer which defines a plurality of contact regions by filling empty spaces in the first regions between the gate lines and, extending from the first regions, and surrounding sidewalls of portions of the gate lines in the second regions, and wherein the contact regions partially exposing the active regions and a plurality of contacts which respectively fill the contact regions.
    Type: Application
    Filed: September 17, 2008
    Publication date: March 26, 2009
    Inventors: JONG-Chul PARK, Sang-Sup Jeong
  • Publication number: 20090072307
    Abstract: A semiconductor integrated circuit includes a semiconductor substrate, a plurality of trenches formed to extend in one direction in the semiconductor substrate, at least one connecting trench connecting at least two of the plurality of trenches to each other, a plurality of trench transistors including a plurality of gate electrodes, each gate electrode partially filling a corresponding trench, and a capping layer filling the at least one connecting trench.
    Type: Application
    Filed: September 2, 2008
    Publication date: March 19, 2009
    Inventors: Dae-Ik Kim, Yong-Il Kim
  • Publication number: 20090075464
    Abstract: A semiconductor device includes a substrate, a p-channel MIS transistor formed on an n-type well on the substrate, having a first gate dielectric and a first gate electrode formed thereon and formed of a Ta—C alloy wherein a crystal orientation ratio of a TaC (111) face in a film thickness direction [TaC (111) face/{TaC (111) face+TaC (200) face}] is 80% or more, and an n-channel MIS transistor formed on a p-type well on the substrate, having a second gate dielectric and a second gate electrode formed thereon and formed of a Ta—C alloy wherein a crystal orientation ratio of a TaC (111) face in a film thickness direction [TaC (111) face/{TaC (111) face+TaC (200) face}] is 60% or less.
    Type: Application
    Filed: September 10, 2008
    Publication date: March 19, 2009
    Inventors: Masato Koyama, Reika Ichihara, Yoshinori Tsuchiya, Yuuichi Kamimuta, Akira Nishiyama
  • Publication number: 20090068828
    Abstract: Concurrently forming different metal gate transistors having respective work functions is disclosed. In one example, a metal carbide, which has a relatively low work function, is formed over a semiconductor substrate. Oxygen and/or nitrogen are then added to the metal carbide in a second region to establish a second work function in the second region, where the metal carbide itself establishes a first work function in a first region. One or more first metal gate transistor types are then formed in the first region and one or more second metal gate transistor types are formed in the second region.
    Type: Application
    Filed: November 14, 2008
    Publication date: March 12, 2009
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: James Joseph Chambers, Luigi Colombo, Mark Robert Visokay
  • Publication number: 20090057786
    Abstract: A semiconductor device includes a high dielectric constant gate insulator film provided on a Si substrate which is a semiconductor substrate, a gate electrode formed on the high dielectric constant gate insulator film, a protective film provided on side surfaces of the high dielectric constant gate insulator film and the gate insulator, and a side wall film provided on the outside of the protective film. The protective film includes a high dielectric constant material having, in its composition, at least one metal selected from the group consisting of Hf, Zr, Al, La, Pr, Y, Ti, Ta and W, whereby it is possible to suppress the causes of such troubles as dispersions of characteristics and deterioration of short channel characteristic.
    Type: Application
    Filed: August 25, 2008
    Publication date: March 5, 2009
    Applicant: SONY CORPORATION
    Inventor: Katsuhiko Fukasaku
  • Publication number: 20090057763
    Abstract: This disclosure concerns a semiconductor memory device including an insulating film; a semiconductor layer provided on the insulating film; a source provided in the semiconductor layer; a drain provided in the semiconductor layer; a floating body provided between the source and the drain and being in an electrically floating state, carriers being accumulated in or emitted from the floating body to store data; a gate dielectric film provided on the floating body; a gate electrode provided on the gate dielectric film; a source and drain insulating film provided on the source and the drain, the source and drain insulating film being thinner than the gate dielectric film; and a silicide layer provided on the source and drain insulating film.
    Type: Application
    Filed: August 21, 2008
    Publication date: March 5, 2009
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Yoshihiro MINAMI
  • Publication number: 20090057776
    Abstract: A method of forming fully silicided NMOS and PMOS semiconductor devices having independent polysilicon gate thicknesses, and related device. At least some of the illustrative embodiments are methods comprising forming an N-type gate over a semiconductor substrate (the N-type gate having a first thickness), forming a P-type gate over the semiconductor substrate (the P-type gate having a second thickness different than the first thickness), and performing a simultaneous silicidation of the N-type gate and the P-type gate.
    Type: Application
    Filed: April 27, 2007
    Publication date: March 5, 2009
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Freidoon Mehrad, Shaofeng Yu, Steven A. Vitale, Craig H. Huffman
  • Publication number: 20090057771
    Abstract: Disclosed herein is a semiconductor device including a semiconductor substrate provided with an N-type FET and P-type FET, with a gate electrode of the N-type FET and a gate electrode of the P-type FET having undergone full-silicidation, wherein the gate electrode of the P-type FET has such a sectional shape in the gate length direction that the gate length decreases as one goes upwards from a surface of the semiconductor substrate, and the gate electrode of the N-type FET has such a sectional shape in the gate length direction that the gate length increases as one goes upwards from the surface of the semiconductor substrate.
    Type: Application
    Filed: September 4, 2008
    Publication date: March 5, 2009
    Applicant: SONY CORPORATION
    Inventor: Katsuhiko Fukasaku
  • Publication number: 20090050982
    Abstract: A new MOSFET device is described comprising a metal gate electrode, a gate dielectric and an interfacial layer. The interfacial layer comprises a lanthanum hafnium oxide material for modulating the effective work function of the metal gate. The gate dielectric material in contact with the interfacial layer is different that the interfacial layer material. A method for its manufacture is also provided and its applications.
    Type: Application
    Filed: May 29, 2007
    Publication date: February 26, 2009
    Applicants: Interuniversitair Microelektronica Centrum (IMEC), National University of Singapore (NUS), Infineon Technologies AG
    Inventors: Luigi Pantisano, Tom Schram, Stefan De Gendt, Amal Akheyar, XinPeng Wang, Mingfu Li, HongYu Yu
  • Publication number: 20090042380
    Abstract: A gate dielectric film, a poly-silicon film, a film of a refractory metal such as tungsten, and a gate cap dielectric film are sequentially laminated on a semiconductor substrate. The gate cap dielectric film and the refractory metal film are selectively removed by etching. Thereafter, a double protection film including a silicon nitride film and a silicon oxide film is formed on side surfaces of the gate cap dielectric film, the refractory metal film, and the poly-silicon film. The poly-silicon film is etched using the double protection film as a mask. Thereafter, the semiconductor substrate is light oxidized to form a silicon oxide film on side surfaces of the poly-silicon film. Accordingly, a junction leakage of a MOSFET having a gate electrode of a poly-metal structure, particularly, a memory cell transistor of a DRAM, can be further reduced.
    Type: Application
    Filed: October 7, 2008
    Publication date: February 12, 2009
    Applicant: Elpida Memory, Inc.
    Inventors: Kiyonori OYU, Kensuke OKONOGI
  • Patent number: 7485515
    Abstract: A method of forming compressive nitride film is provided. The method includes performing a chemical vapor deposition (CVD) process to form a nitride film on a substrate, and the method is characterized by adding a certain gas, selected from among Ar, N2, Kr, Xe, and mixtures thereof. Due to the addition of the foregoing certain gas, it can increase the compressive stress, thereby increasing PMOS drive current gain.
    Type: Grant
    Filed: April 17, 2006
    Date of Patent: February 3, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Neng-Kuo Chen, Teng-Chun Tsai, Chien-Chung Huang
  • Publication number: 20090029539
    Abstract: A method for fabricating a tungsten (W) line includes forming a silicon-containing layer, forming a diffusion barrier layer over the silicon-containing layer, forming a tungsten layer over the diffusion barrier layer, and performing a thermal treatment process on the tungsten layer to increase a grain size of the tungsten layer.
    Type: Application
    Filed: June 27, 2008
    Publication date: January 29, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventors: Min-Gyu Sung, Heung-Jae Cho, Kwan-Yong Lim
  • Publication number: 20090023277
    Abstract: A method for fabricating a semiconductor device, the method includes forming an isolation layer defining an active region over a substrate, forming a conductive layer over the substrate including the isolation layer, patterning the conductive layer to form a conductive pattern over the active region defined on both sides of a gate region, forming insulation spacers on a sidewall of the conductive pattern, forming a conductive layer for a gate electrode and a gate hard mask layer over the resulting structure including the conductive pattern, and patterning the gate hard mask layer and the conductive layer for the gate electrode to form a gate in the gate region of the substrate.
    Type: Application
    Filed: June 30, 2008
    Publication date: January 22, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Kyung-Doo KANG
  • Publication number: 20090014818
    Abstract: A semiconductor device includes a semiconductor substrate, a gate insulating film formed on the semiconductor substrate, a gate electrode formed on the gate insulating film, a first insulating film formed on a side surface of the gate electrode, a second insulating film covering a surface of the first insulating film and formed of a material different from a material of the first insulating film, and a third insulating film covering the semiconductor substrate, the gate electrode and the second insulating film and formed of a material different from the material of the second insulating film.
    Type: Application
    Filed: July 8, 2008
    Publication date: January 15, 2009
    Inventor: Akiko NOMACHI
  • Publication number: 20090001483
    Abstract: Ni3Si2 FUSI gates can be formed inter alia by further reaction of NiSi/Ni2Si gate stacks. Ni3Si2 behaves similarly to NiSi in terms of work function values, and of modulation with dopants on SiO2, in contrast to Ni-rich silicides which have significantly higher work function values on HfSixOy and negligible work function shifts with dopants on SiO2. Formation of Ni3Si2 can applied for applications targeting NiSi FUSI gates, thereby expanding the process window without changing the electrical properties of the FUSI gate.
    Type: Application
    Filed: February 26, 2008
    Publication date: January 1, 2009
    Applicant: INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM (IMEC)
    Inventor: Jorge Adrian Kittl
  • Publication number: 20090001373
    Abstract: Disclosed herein are an electrode of aluminum alloy film, a method for production thereof, and a display unit provided therewith, said electrode exhibiting a low electric resistance when in contact with a transparent oxide conductive film even though the aluminum alloy contains a less amount of alloying element than usual. The electrode of low contact resistance type is an aluminum alloy film in direct contact with a transparent oxide electrode, wherein said aluminum alloy film contains 0.1-1.0 atom % of metal nobler than aluminum and is in direct contact with a transparent oxide electrode through a surface having surface roughness no smaller than 5 nm in terms of maximum height Rz.
    Type: Application
    Filed: June 10, 2008
    Publication date: January 1, 2009
    Applicant: Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel Ltd.)
    Inventors: Mototaka OCHI, Hiroshi Gotou, Hiroyuki Okuno, Yuichi Taketomi
  • Publication number: 20080318404
    Abstract: A semiconductor device includes a silicon substrate; an insulation layer formed on the silicon substrate, the insulation layer containing an oxide of an element of at least one kind selected from at least Hf, Zr, Ti and Ta; an electrode formed on the insulation layer; and a metal oxide layer containing La and Al, the metal oxide layer being provided at at least one of an interface between the silicon substrate and the insulation layer and an interface between the insulation layer and the electrode.
    Type: Application
    Filed: May 5, 2008
    Publication date: December 25, 2008
    Inventors: Masamichi Suzuki, Daisuke Matsushita, Takeshi Yamaguchi
  • Publication number: 20080311735
    Abstract: A method for fabricating a semiconductor device includes forming at least one gate pattern over a substrate, forming a first insulation layer over the gate patterns and the substrate, etching the first insulation layer in a peripheral region to form at least one gate pattern spacer in the peripheral region, forming a second insulation layer over the substrate structure, etching the second insulation layer in a cell region to a given thickness, forming an insulation structure over the substrate structure, and etching the insulation structure, the etched first insulation layer and second insulation layer in the cell region to form a contact hole.
    Type: Application
    Filed: December 31, 2007
    Publication date: December 18, 2008
    Inventor: Min-Suk Lee
  • Publication number: 20080311733
    Abstract: A method for fabricating a semiconductor device including forming a gate insulation layer, a conductive layer for a gate electrode, and an insulation layer for a gate hard mask over a substrate, selectively etching the insulation layer for a gate hard mask and the conductive layer for a gate electrode to expose a first region of the substrate, thereby forming an initial gate line, forming a first insulation layer for an insulation over a resultant structure where the initial gate line is formed, performing a planarization process until the insulation layer for a gate hard mask is exposed, and selectively etching the insulation layer for a gate hard mask and the conductive layer for a gate electrode to expose a second region of the substrate, the second region being not overlapped with the first region, thereby forming a final gate line having a line width smaller than the initial gate line.
    Type: Application
    Filed: December 13, 2007
    Publication date: December 18, 2008
    Inventor: Weon-Chul JEON
  • Patent number: 7465618
    Abstract: A semiconductor device includes: a semiconductor substrate; a gate insulating film formed on the semiconductor substrate and made of a high-dielectric-constant material composed of a plurality of layers stacked perpendicularly to a principal surface of the semiconductor substrate and associated with respective phases; and a gate electrode formed on the gate insulating film.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: December 16, 2008
    Assignees: Panasonic Corporation, Interuniversitair Micro-Elektronica Centrum VZW
    Inventors: Shigenori Hayashi, Kazuhiko Yamamoto
  • Patent number: 7462543
    Abstract: A method for forming an NMOS transistor for use in a flash memory cell on a P-type semiconductor structure includes forming a photoresist layer over the semiconductor structure and patterning the photoresist layer using a source/drain mask for the NMOS transistor; forming a first N-type region and a second N-type region by a first implantation process using the patterned photoresist as an implant mask where the first implantation process uses a high implant dose at a low implant energy and the first and second N-type regions form the source and drain regions of the NMOS transistor; forming a channel doped region by a second implantation process using the patterned photoresist as an implant mask where the second implantation process uses a low implant dose at a high implant energy and the channel doped region is formed for adjusting a threshold voltage of the NMOS transistor.
    Type: Grant
    Filed: December 7, 2007
    Date of Patent: December 9, 2008
    Assignee: Micrel, Inc.
    Inventor: Jun Ruan
  • Publication number: 20080299753
    Abstract: Methods are provided for simultaneously processing transistors in two different regions of an integrated circuit. Planar transistors are provided in a logic region while recessed access devices (RADs) are provided in an array region for a memory device. During gate stack patterning in the periphery, word lines are recessed within the trenches for the array RADs. Side wall spacer formation in the periphery simultaneously provides an insulating cap layer burying the word lines within the trenches of the array.
    Type: Application
    Filed: July 22, 2008
    Publication date: December 4, 2008
    Inventors: Thomas A. Figura, Gordon A. Haller
  • Publication number: 20080296644
    Abstract: A CMOS image sensor includes an image transfer transistor therein. This image transfer transistor includes a semiconductor channel region of first conductivity type and an electrically conductive gate on the semiconductor channel region. A gate insulating region is also provided. The gate insulating region extends between the semiconductor channel region and the electrically conductive gate. The gate insulating region includes a nitridated insulating layer extending to an interface with the electrically conductive gate and a substantially nitrogen-free insulating layer extending to an interface with the semiconductor channel region. The nitridated insulating layer may be a silicon oxynitride (SiON) layer.
    Type: Application
    Filed: August 6, 2008
    Publication date: December 4, 2008
    Inventors: Young-Sub You, Jung-Hwan Oh, Yong-Woo Hyung, Hun-Hyoung Lim
  • Publication number: 20080296700
    Abstract: A method for forming gate patterns for a semiconductor device includes defining a cell array region and a peripheral region on a substrate. A layout is defined in a peripheral region. The layout comprises patterns having a plurality of fingers that extend along a first direction, wherein the fingers are spaced apart from adjacent fingers in a second direction at substantially the same interval, the patterns including gate patterns.
    Type: Application
    Filed: December 5, 2007
    Publication date: December 4, 2008
    Applicant: Hynix Semiconductor Inc.
    Inventor: Chun-Soo KANG
  • Publication number: 20080290429
    Abstract: A method for fabricating a semiconductor device includes forming a gate insulation layer over a substrate, a first conductive layer over the gate insulation layer, and a second conductive layer over the first conductive layer, etching the second conductive layer to form a second gate electrode using a first mask pattern having a first width, forming an insulation layer over a resultant where the second gate electrode is formed, and etching the insulation layer, the first conductive layer and the gate insulation layer sequentially to form a gate using a second mask pattern having a second width greater than the first width, the gate including an etched gate insulation layer, a first gate electrode, the second gate electrode, and a gate hard mask, which are stacked in sequence, wherein both sidewalls and a top surface of the second gate electrode are covered with the gate hard mask.
    Type: Application
    Filed: December 5, 2007
    Publication date: November 27, 2008
    Applicant: Hynix Semiconductor Inc.
    Inventor: Weon-Chul JEON
  • Publication number: 20080293228
    Abstract: A method is provided for forming metal contacts to nanotube devices in a standard CMOS process flow. In accordance with one feature, a method for forming source/drain contacts to nanotube devices acting as FETs is provided while minimizing metal contamination to the complementary metal oxide semiconductor (CMOS) circuitry in a standard CMOS process flow. The method includes forming nanotube devices on a semiconductor substrate during a front end process of a CMOS process flow, while forming metallic contacts for the nanotube devices during a back end process of the CMOS process flow. This enables the formation of nanotube devices to be integrated within a standard CMOS process flow, thereby opening avenues to commercializing new generation of RFCMOS technology where superior RF/analog circuitry based on nanotube devices can be combined with digital circuitry based on standard silicon CMOS.
    Type: Application
    Filed: May 21, 2008
    Publication date: November 27, 2008
    Inventor: Amol M. Kalburge
  • Publication number: 20080286913
    Abstract: Therefore, disclosed above are embodiments of a multi-fin field effect transistor structure (e.g., a multi-fin dual-gate FET or tri-gate FET) that provides low resistance strapping of the source/drain regions of the fins, while also maintaining low capacitance to the gate by raising the level of the straps above the level of the gate. Embodiments of the structure of the invention incorporate either conductive vias or taller source/drain regions in order to electrically connect the source/drain straps to the source/drain regions of each fin. Also, disclosed are embodiments of associated methods of forming these structures.
    Type: Application
    Filed: July 30, 2008
    Publication date: November 20, 2008
    Applicant: International Business Machines Corporation
    Inventors: Brent A. Anderson, Thomas Ludwig, Edward J. Nowak
  • Publication number: 20080265335
    Abstract: A gate in a semiconductor device is formed to have a dummy gate pattern that protects a gate. Metal lines are formed to supply power for a semiconductor device and transfer a signal. A semiconductor device includes a quad coupled receiver type input/output buffer. The semiconductor device is formed with a gate line that extends over an active region, and a gate pad located outside of the active region. The gate line and the gate pad are adjoined such that the gate line and a side of the gate pad form a line. Dummy gates may also be applied. The semiconductor device includes a first metal line patterns supplying power to a block having a plurality of cells, a second metal line pattern transferring a signal to the cells, and dummy metal line patterns divided into in a longitudinal direction.
    Type: Application
    Filed: April 25, 2008
    Publication date: October 30, 2008
    Inventors: Nam Gyu RYU, Ho Ryong KIM, Won John CHOI, Jae Hwan KIM, Seoung Hyun KANG, Young Hee YOON
  • Publication number: 20080268602
    Abstract: A method of fabricating a semiconductor device is disclosed. The method of fabricating a semiconductor device provides a semiconductor substrate; forming a gate stack overlying the semiconductor substrate; forming spacers each having a first inner spacer and a second outer spacer on sidewalls of the gate stack; forming a protective layer on sidewalls of the spacers, covering a part of the semiconductor substrate, wherein an etching selectivity of the protective layer is higher than that of the first inner spacer.
    Type: Application
    Filed: January 14, 2008
    Publication date: October 30, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chien-Li Cheng, Sun-Jay Chang, Tung-Heng Hsieh, Yung-Shun Chen
  • Patent number: 7442632
    Abstract: In a semiconductor device including a semiconductor substrate, and an n-channel type MOS transistor produced in the semiconductor substrate, the n-channel type MOS transistor includes a gate insulating layer formed on the semiconductor substrate and having a thickness of at most 1.6 nm, and a gate electrode layer on the gate insulating layer, and the gate electrode layer is composed of polycrystalline silicon which has an average grain size falling within a range between 10 nm and 150 nm in the vicinity of the gate insulating layer.
    Type: Grant
    Filed: December 8, 2006
    Date of Patent: October 28, 2008
    Assignee: NEC Electronics Corporation
    Inventors: Mitsuhiro Togo, Takayuki Suzuki
  • Patent number: 7439105
    Abstract: A gate electrode (202) for a transistor including a metal gate structure (207) containing zirconium and a polycrystalline silicon cap (209) located there over. The metal gate structure (207) is located over a gate dielectric (205). The zirconium inhibits diffusion of silicon from the cap to the metal gate structure and gate dielectric. In one embodiment, the gate dielectric is a high K dielectric.
    Type: Grant
    Filed: March 2, 2006
    Date of Patent: October 21, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Rama I. Hegde
  • Patent number: 7427541
    Abstract: A structure to form an energy well within a Carbon nanotube is described. The structure includes a doped semiconductor region and an undoped semiconductor region. The Carbon nanotube is between the doped semiconductor region and the undoped semiconductor region. The structure also includes a delta doped semiconductor region. The undoped semiconductor region is between the Carbon nanotube and the delta doped region. The delta doped semiconductor region is doped opposite that of the doped semiconductor region.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: September 23, 2008
    Assignee: Intel Corporation
    Inventors: Suman Datta, Marko Radosavljevic, Brian Doyle, Jack Kavalieros, Justin Brask, Amlan Majumdar, Robert S. Chau
  • Publication number: 20080224236
    Abstract: A gate electrode for semiconductor devices, the gate electrode comprising a mixture of a metal having a work function of about 4 eV or less and a metal nitride.
    Type: Application
    Filed: January 28, 2008
    Publication date: September 18, 2008
    Applicant: NATIONAL UNIVERSITY OF SINGAPORE
    Inventors: Chi Ren, Hongyu Yu, Siu Hung Daniel Chan, Ming-Fu Li, Dim-Lee Kwong
  • Publication number: 20080220603
    Abstract: An embodiment of the present invention is a method of manufacturing a semiconductor device, for forming transistors of first and second conductivity types in first and second regions on a substrate respectively. The method includes: depositing a gate insulator and a sacrificial layer ranging from the first region to the second region; removing the sacrificial layer from the first region; depositing a first gate electrode layer, on the gate insulator exposed in the first region, and on the sacrificial layer remaining in the second region; removing the first gate electrode layer and the sacrificial layer from the second region; depositing a second gate electrode layer on the gate insulator exposed in the second region; forming the transistor of the first conductivity type including the gate insulator and the first gate electrode layer; and forming the transistor of the second conductivity type including the gate insulator and the second gate electrode layer.
    Type: Application
    Filed: February 21, 2008
    Publication date: September 11, 2008
    Inventors: Takashi Fukushima, Toshiyuki Sasaki
  • Publication number: 20080203499
    Abstract: A semiconductor device includes a semiconductor substrate, an insulating layer and a conductive layer disposed on the second insulator, the insulating layer including a first insulator containing silicon and oxygen, an intermediate region containing a metal element, silicon, oxygen and nitrogen, and a second insulator containing the metal element and oxygen, wherein a concentration of the metal element in the intermediate region is higher in a region in contact with the second insulator than in a region in contact with the first insulator.
    Type: Application
    Filed: February 1, 2008
    Publication date: August 28, 2008
    Applicant: ROHM CO., LTD.
    Inventor: Kunihiko Iwamoto
  • Publication number: 20080203455
    Abstract: A semiconductor device employing a transistor having a recessed channel region and a method of fabricating the same is disclosed. A semiconductor substrate has an active region. A trench structure is defined within the active region. The trench structure includes an upper trench region adjacent to a surface of the active region, a lower trench region and a buffer trench region interposed between the upper trench region and the lower trench region. A width of the lower trench region may be greater than a width of the upper trench region. An inner wall of the trench structure may include a convex region interposed between the upper trench region and the buffer trench region and another convex region interposed between the buffer trench region and the lower trench region. A gate electrode is disposed in the trench structure. A gate dielectric layer is interposed between the gate electrode and the trench structure.
    Type: Application
    Filed: February 20, 2008
    Publication date: August 28, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sung-Ho JANG, Yong-Jin CHOI, Min-Sung KANG, Kwang-Woo LEE
  • Publication number: 20080200019
    Abstract: Processes are provided for selectively depositing thin films comprising one or more noble metals on a substrate by vapor deposition processes. In some embodiments, atomic layer deposition (ALD) processes are used to deposit a noble metal containing thin film on a high-k material, metal, metal nitride or other conductive metal compound while avoiding deposition on a lower k insulator such as silicon oxide. The ability to deposit on a first surface, such as a high-k material, while avoiding deposition on a second surface, such as a silicon oxide or silicon nitride surface, may be utilized, for example, in the formation of a gate electrode.
    Type: Application
    Filed: March 14, 2006
    Publication date: August 21, 2008
    Inventors: Hannu Huotari, Marko Tuominen, Miika Leinikka
  • Publication number: 20080194090
    Abstract: A method for manufacturing a semiconductor device for use in avoiding unwanted oxidation along exposed surfaces and for use in relieving etching damage is presented. The method includes step of forming sequentially a gate insulation layer, a polysilicon layer, a barrier layer, a metallic layer and a hard mask layer over a semiconductor substrate. The method also includes a step of etching the hard mask layer, the metallic layer, the barrier layer, the polysilicon layer and the gate insulation layer to form a gate. The method also includes a nitrifying step which uses a free radical is assisted chemical vapor deposition (RACVD) nitrifying process on surfaces of the layers forming the gate and a surface of the semiconductor substrate. The method also includes a step of subsequently performing a reoxidation process to the semiconductor substrate resultant that the RACVD nitrifying process is performed.
    Type: Application
    Filed: December 28, 2007
    Publication date: August 14, 2008
    Inventors: Gyu Dong CHO, Ho Jin CHO, Hyun Jung KIM
  • Patent number: 7407846
    Abstract: The present method prevents malfunctions in switching caused by a light leakage current in an active matrix type thin film transistor substrate for a liquid crystal display and prevents display failures, by selectively disposing a self assembled monolayer film in a gate electrode-projected region of the surface of an insulator film with high definition, and by selectively improving the orientation order of an organic semiconductor film only in the gate electrode-projected region without improving the order at an irradiated portion with light outside the gate electrode-projected region.
    Type: Grant
    Filed: February 8, 2007
    Date of Patent: August 5, 2008
    Assignee: Hitachi, Ltd.
    Inventors: Masahiko Ando, Masatoshi Wakagi, Hiroshi Sasaki
  • Publication number: 20080179660
    Abstract: Contact forming methods and a related semiconductor device are disclosed. One method includes forming a first liner over the structure and the substrate, the first liner covering sidewall of the structure; forming a dielectric layer over the first liner and the structure; forming a contact hole in the dielectric layer to the first liner; forming a second liner in the contact hole including over the first liner covering the sidewall; removing the first and second liners at a bottom of the contact hole; and filling the contact hole with a conductive material to form the contact. The thicker liner(s) over the sidewall of the structure prevents shorting, and allows for at least maintaining any intrinsic stress in one or more of the liner(s).
    Type: Application
    Filed: January 30, 2007
    Publication date: July 31, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Daniel C. Edelstein, Louis Lu-Chen Hsu, Chih-Chao Yang