Making Electrode Structure Comprising Conductor-insulator-semiconductor, E.g., Mis Gate (epo) Patents (Class 257/E21.19)

  • Publication number: 20130248975
    Abstract: A non-volatile semiconductor memory device includes a peripheral circuit having multilayer wirings. Above this peripheral circuit, a plurality of memory strings is formed. The memory strings include a plurality of memory cells and a back gate transistor connected in series. Multiple back gate layers are formed to function as a control electrode of the back gate transistor. A first connection part composed of semiconductor films connects a lower surface of one of the back gate layers and an upper surface of the uppermost wiring layer of the multilayer wirings, and a barrier metal film is disposed above the uppermost wiring layer.
    Type: Application
    Filed: September 8, 2012
    Publication date: September 26, 2013
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Tomoo HISHIDA, Yoshihisa Iwata
  • Publication number: 20130248993
    Abstract: A field-effect semiconductor device is provided. The field-effect semiconductor device includes a semiconductor body with a first surface defining a vertical direction. In a vertical cross-section the field-effect semiconductor device further includes a vertical trench extending from the first surface into the semiconductor body. The vertical trench includes a field electrode, a cavity at least partly surrounded by the field electrode, and an insulation structure substantially surrounding at least the field electrode. Further, a method for producing a field-effect semiconductor device is provided.
    Type: Application
    Filed: March 26, 2012
    Publication date: September 26, 2013
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Stefan Sedlmaier, Markus Zundel, Franz Hirler, Johannes Baumgartl, Anton Mauder, Ralf Siemieniec, Oliver Blank, Michael Hutzler
  • Publication number: 20130241003
    Abstract: A fin-shaped field-effect transistor process includes the following steps. A substrate is provided. A first fin-shaped field-effect transistor and a second fin-shaped field-effect transistor are formed on the substrate, wherein the first fin-shaped field-effect transistor includes a first metal layer and the second fin-shaped field-effect transistor includes a second metal layer. A treatment process is performed on the first fin-shaped field-effect transistor to adjust the threshold voltage of the first fin-shaped field-effect transistor. A fin-shaped field-effect transistor formed by said process is also provided.
    Type: Application
    Filed: March 13, 2012
    Publication date: September 19, 2013
    Inventors: Chien-Ting Lin, Wen-Tai Chiang
  • Publication number: 20130241007
    Abstract: A method includes providing a semiconductor substrate having intentionally doped surface regions, the intentionally doped surface regions corresponding to locations of a source and a drain of a transistor; depositing a layer a band edge gate metal onto a gate insulator layer in a gate region of the transistor while simultaneously depositing the band edge gate metal onto the surface of the semiconductor substrate to be in contact with the intentionally doped surface regions; and depositing a layer of contact metal over the band edge gate metal in the gate region and in the locations of the source and the drain. The band edge gate metal in the source/drain regions reduces a Schottky barrier height of source/drain contacts of the transistor and serves to reduce contact resistance. A transistor fabricated in accordance with the method is also described.
    Type: Application
    Filed: March 15, 2012
    Publication date: September 19, 2013
    Applicant: International Business Machines Corporation
    Inventors: Kisik Choi, Christian Lavoie, Paul M. Solomon, Bin Yang, Zhen Zhang
  • Publication number: 20130240986
    Abstract: A semiconductor device includes a trench region extending into a drift zone of a semiconductor body from a surface. The semiconductor device further includes a dielectric structure extending along a lateral side of the trench region, wherein a part of the dielectric structure is a charged insulating structure. The semiconductor device further includes a gate electrode in the trench region and a body region of a conductivity type other than the conductivity type of the drift zone. The charged insulating structure adjoins each one of the drift zone, the body region and the dielectric structure and further adjoins or is arranged below a bottom side of a gate dielectric of the dielectric structure.
    Type: Application
    Filed: March 15, 2012
    Publication date: September 19, 2013
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Franz Hirler, Markus Zundel
  • Publication number: 20130240994
    Abstract: A semiconductor device includes a substrate, and a gate line, located over the substrate, which includes a first conductive layer and one or more second conductive pattern layers located in the first conductive layer. The second conductive pattern layer comprises a metal layer to thus reduce resistance of a gate line.
    Type: Application
    Filed: August 29, 2012
    Publication date: September 19, 2013
    Inventors: Ki Hong LEE, Seung Ho Pyi, Il Young Kwon
  • Publication number: 20130237046
    Abstract: A semiconductor process includes the following steps. A substrate having a first area and a second area is provided. A thick oxide layer and a dummy gate layer are formed on the substrate and in the first area and the second area. The dummy gate layer is removed to expose the thick oxide layer. The thick oxide layer in the first area is removed and then a thinner oxide layer is formed in the first area; or, the thick oxide layer in the first area is thinned down and a thinner oxide layer is therefore formed.
    Type: Application
    Filed: March 9, 2012
    Publication date: September 12, 2013
    Inventors: Chien-Ting Lin, Ssu-I Fu
  • Publication number: 20130234244
    Abstract: Dummy structures between a high voltage (HV) region and a low voltage (LV) region of a substrate are disclosed, along with methods of forming the dummy structures. An embodiment is a structure comprising a HV gate dielectric over a HV region of a substrate, a LV gate dielectric over a LV region of the substrate, and a dummy structure over a top surface of the HV gate dielectric. A thickness of the LV gate dielectric is less than a thickness of the HV gate dielectric. The dummy structure is on a sidewall of the HV gate dielectric.
    Type: Application
    Filed: March 9, 2012
    Publication date: September 12, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Huei-Ru Liu, Chien-Chih Chou, Kong-Beng Thei, Gwo-Yuh Shiau
  • Publication number: 20130237044
    Abstract: A method of manufacturing metal gates comprises the steps of: forming a plurality of parallel trenches on a substrate; forming sequentially a conductive layer and a protective layer on the surfaces of the substrate and trenches; removing the protective layer and conductive layer on the surface of the substrate and the protective layer on the bottom walls of the trenches through anisotropic etching to retain only the protective layer and conductive layer on the side walls; and finally removing the conductive layer not covered by the protective layer through isotropic etching to retain only the protective layer and conductive layer on the side walls so that two insulating gates are respectively formed on the side walls. Thus no isolation material is needed to be disposed at the bottom of the trenches, and the problem of excessive etching to the trenches that results in undesirable insulation can be averted.
    Type: Application
    Filed: March 9, 2012
    Publication date: September 12, 2013
    Inventors: Hsiao-chia CHEN, Chien-hua TSAI
  • Patent number: 8530312
    Abstract: Vertical devices and methods of forming the same are provided. One example method of forming a vertical device can include forming a trench in a semiconductor structure, and partially filling the trench with an insulator material. A dielectric material is formed over the insulator material. The dielectric material is modified into a modified dielectric material having an etch rate greater than an etch rate of the insulator material. The modified dielectric material is removed from the trench via a wet etch.
    Type: Grant
    Filed: August 8, 2011
    Date of Patent: September 10, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Andrea Filippini, Luca Ferrario, Marcello Mariani
  • Patent number: 8530306
    Abstract: A slit recess channel gate is further provided. The slit recess channel gate includes a substrate, a gate dielectric layer, a first conductive layer and a second conductive layer. The substrate has a first trench. The gate dielectric layer is disposed on a surface of the first trench and the first conductive layer is embedded in the first trench. The second conductive layer is disposed on the first conductive layer and aligned with the first conductive layer above the main surface, wherein a bottom surface area of the second conductive layer is substantially smaller than a top surface area of the second conductive layer. The present invention also provides a method of forming the slit recess channel gate.
    Type: Grant
    Filed: May 27, 2011
    Date of Patent: September 10, 2013
    Assignee: Nanya Technology Corp.
    Inventors: Tieh-Chiang Wu, Yi-Nan Chen, Hsien-Wen Liu
  • Publication number: 20130228872
    Abstract: A stack of a gate dielectric layer and a workfunction material layer are deposited over a plurality of semiconductor material portions, which can be a plurality of semiconductor fins or a plurality of active regions in a semiconductor substrate. A first gate conductor material applying a first stress is formed on a first portion of the workfunction material layer located on a first semiconductor material portion, and a second gate conductor material applying a second stress is formed on a second portion of the workfunction material layer located on a second semiconductor material portion. The first and second stresses are different in at least one of polarity and magnitude, thereby inducing different strains in the first and second portions of the workfunction material layer. The different strains cause the workfunction shift differently in the first and second portions of the workfunction material layer, thereby providing devices having multiple different workfunctions.
    Type: Application
    Filed: March 1, 2012
    Publication date: September 5, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Mohit Bajaj, Kota V.R.M. Murali, Rahul Nayak, Edward J. Nowak, Rajan K. Pandey
  • Publication number: 20130224945
    Abstract: One illustrative method disclosed herein includes forming a plurality of spaced-apart trenches in a semiconducting substrate to thereby define a fin structure for the device, forming a local isolation region within each of the trenches, forming a sacrificial gate structure on the fin structure, wherein the sacrificial gate structure comprises at least a sacrificial gate electrode, and forming a layer of insulating material above the fin structure and within the trench above the local isolation region. In this example, the method further includes performing at least one etching process to remove the sacrificial gate structure to thereby define a gate cavity, after removing the sacrificial gate structure, performing at least one etching process to form a recess in the local isolation region, and forming a replacement gate structure that is positioned in the recess in the local isolation region and in the gate cavity.
    Type: Application
    Filed: February 29, 2012
    Publication date: August 29, 2013
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Yanxiang Liu, Michael Hargrove, Xiaodong Yang, Hans Van Meer, Laegu Kang, Christian Gruensfelder, Srikanth Samavedam
  • Publication number: 20130224943
    Abstract: A system and method for manufacturing a memory device is provided. A preferred embodiment comprises manufacturing a flash memory device with a tunneling layer. The tunneling layer is formed by introducing a bonding agent into the dielectric material to bond with and reduce the number of dangling bonds that would otherwise be present. Further embodiments include initiating the formation of the tunneling layer without the bonding agent and then introducing a bonding agent containing precursor and also include a reduced concentration region formed in the tunneling layer adjacent to a substrate.
    Type: Application
    Filed: May 30, 2012
    Publication date: August 29, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ping-Pang Hsieh, Kun-Tsang Chuang, Chia Hsing Huang
  • Publication number: 20130224944
    Abstract: Methods for fabricating integrated circuits using tailored chamfered gate liner profiles are provided. In an exemplary embodiment, a method for fabricating an integrated circuit includes forming a dummy gate electrode overlying a semiconductor substrate and forming a liner on sidewalls of the dummy gate electrode. A dielectric material is deposited overlying the dummy gate electrode, the liner, and the substrate. The dummy gate electrode is exposed by chemical mechanical planarization. A portion of the dummy gate electrode is removed and the liner is isotropically etched such that it has a chamfered surface. A remainder of the dummy gate electrode is removed to form an opening that is filled with a metal.
    Type: Application
    Filed: February 27, 2012
    Publication date: August 29, 2013
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Puneet Khanna, Dae-han Choi, Katherina Babich, Catherine Labelle
  • Publication number: 20130221428
    Abstract: An electronic device can include a transistor structure, including a patterned semiconductor layer overlying a substrate and having a primary surface, wherein the patterned semiconductor layer defines a first trench and a second trench that extend from the primary surface towards the substrate. The electronic device can further include a first conductive electrode and a gate electrode within the first trench. The electronic device can still further include a second conductive electrode within the second trench. The electronic device can include a source region within the patterned semiconductor layer and disposed between the first and second trenches. The electronic device can further include a body contact region within the patterned semiconductor layer and between the first and second trenches, wherein the body contact region is spaced apart from the primary surface. Processes of forming the electronic device can take advantage of forming all trenches during processing sequence.
    Type: Application
    Filed: February 24, 2012
    Publication date: August 29, 2013
    Inventors: Prasad Venkatraman, Balaji Padmanabhan
  • Publication number: 20130221413
    Abstract: After formation of a silicon nitride gate spacer and a silicon nitride liner overlying a disposable gate structure, a dielectric material layer is deposited, which includes a dielectric material that is not prone to material loss during subsequent exposure to wet or dry etch chemicals employed to remove disposable gate materials in the disposable gate structure. The dielectric material can be a spin-on dielectric material or can be a dielectric metal oxide material. The dielectric material layer and the silicon nitride liner are planarized to provide a planarized dielectric surface in which the disposable gate materials are physically exposed. Surfaces of the planarized dielectric layer is not recessed relative to surfaces of the silicon nitride layer during removal of the disposable gate materials and prior to formation of replacement gate structures, thereby preventing formation of metallic stringers.
    Type: Application
    Filed: February 27, 2012
    Publication date: August 29, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Hemanth Jagannathan, Sanjay Mehta
  • Publication number: 20130224927
    Abstract: Methods are provided for fabricating an integrated circuit that includes metal filled narrow openings. In accordance with one embodiment a method includes forming a dummy gate overlying a semiconductor substrate and subsequently removing the dummy gate to form a narrow opening. A layer of high dielectric constant insulator and a layer of work function-determining material are deposited overlying the semiconductor substrate. The layer of work function-determining material is exposed to a nitrogen ambient in a first chamber. A layer of titanium is deposited into the narrow opening in the first chamber in the presence of the nitrogen ambient to cause the first portion of the layer of titanium to be nitrided. The deposition of titanium continues, and the remaining portion of the layer of titanium is deposited as substantially pure titanium. Aluminum is deposited overlying the layer of titanium to fill the narrow opening and to form a gate electrode.
    Type: Application
    Filed: February 29, 2012
    Publication date: August 29, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Sven Schmidbauer, Dina H. Triyoso, Elke Erben, Hao Zhang, Robert Binder
  • Patent number: 8519474
    Abstract: An electronic device includes a transistor, wherein the electronic device can include a semiconductor layer having a primary surface, a channel region, a gate electrode, a source region, a conductive electrode, and an insulating layer lying between the primary surface of the semiconductor layer and the conductive electrode. The insulating layer has a first region and a second region, wherein the first region is thinner than the second region. The channel region, gate electrode, source region, or any combination thereof can lie closer to the first region than the second region. The thinner portion can allow for faster switch of the transistor, and the thicker portion can allow a relatively large voltage difference to be placed across the insulating layer. Alternative shapes for the transitions between the different regions of the insulating layer and exemplary methods to achieve such shapes are also described.
    Type: Grant
    Filed: June 8, 2011
    Date of Patent: August 27, 2013
    Assignee: Semiconductor Components Industries, LLC
    Inventor: Gary H. Loechelt
  • Publication number: 20130217220
    Abstract: A tantalum alloy layer is employed as a work function metal for field effect transistors. The tantalum alloy layer can be selected from TaC, TaAl, and TaAlC. When used in combination with a metallic nitride layer, the tantalum alloy layer and the metallic nitride layer provides two work function values that differ by 300 mV˜500 mV, thereby enabling multiple field effect transistors having different threshold voltages. The tantalum alloy layer can be in contact with a first gate dielectric in a first gate, and the metallic nitride layer can be in contact with a second gate dielectric having a same composition and thickness as the first gate dielectric and located in a second gate.
    Type: Application
    Filed: September 10, 2012
    Publication date: August 22, 2013
    Applicant: International Business Machines Corporation
    Inventors: Hemanth Jagannathan, Vamsi K. Paruchuri
  • Publication number: 20130217221
    Abstract: Semiconductor devices are formed with a gate last, high-K/metal gate process with complete removal of the polysilicon dummy gate and with a gap having a low aspect ratio for the metal fill. Embodiments include forming a dummy gate electrode on a substrate, the dummy gate electrode having a nitride cap, forming spacers adjacent opposite sides of the dummy gate electrode forming a gate trench therebetween, dry etching the nitride cap, tapering the gate trench top corners; performing a selective dry etch on a portion of the dummy gate electrode, and wet etching the remainder of the dummy gate electrode.
    Type: Application
    Filed: February 17, 2012
    Publication date: August 22, 2013
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Chris M. PRINDLE, Klaus Hempel, Andy C. Wei
  • Patent number: 8513078
    Abstract: A structure and method of forming a semiconductor device with a fin is provided. In an embodiment a hard mask is utilized to pattern a gate electrode layer and is then removed. After the hard mask has been removed, the gate electrode layer may be separated into individual gate electrodes.
    Type: Grant
    Filed: December 22, 2011
    Date of Patent: August 20, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Feng Shieh, Chih-Hao Yu, Chang-Yun Chang
  • Patent number: 8513107
    Abstract: A structure and method for replacement metal gate technology is provided for use in conjunction with semiconductor fins or other devices. An opening is formed in a dielectric by removing a sacrificial gate material such as polysilicon. The surfaces of the semiconductor fin within which a transistor channel is formed, are exposed in the opening. A replacement metal gate is formed by forming a diffusion barrier layer within the opening and over a gate dielectric material, the diffusion barrier layer formation advantageously followed by an in-situ plasma treatment operation. The treatment operation utilizes at least one of argon and hydrogen and cures surface defects in the diffusion barrier layer enabling the diffusion barrier layer to be formed to a lesser thickness. The treatment operation decreases resistivity, densifies and alters the atomic ratio of the diffusion barrier layer, and is followed by metal deposition.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: August 20, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Bor-Wen Chan, Fang Wen Tsai
  • Patent number: 8513667
    Abstract: The present invention relates to a thin film transistor array panel and a manufacturing method thereof, and a thin film transistor array panel according to an exemplary embodiment of the present invention includes: a substrate; a first conductive layer disposed on the substrate; a second conductive layer overlapping at least a portion of the edge of the first conductive layer on the first conductive layer and including a first portion overlapping the first conductive layer and a second portion not overlapping the first conductive layer; a first insulating layer disposed on the second conductive layer and having a contact hole exposing at least a portion of a boundary between the first portion and the second portion; and a third conductive layer disposed on the first insulating layer and simultaneously contacting the first portion and the second portion that are exposed through the contact hole.
    Type: Grant
    Filed: December 2, 2011
    Date of Patent: August 20, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Sang-Hun Jung, Dong Wuuk Seo, Gwang-Bum Ko, Sun-Jung Lee
  • Patent number: 8507336
    Abstract: The invention provides a method for forming a metal gate and a method for forming a MOS transistor. The method for forming a metal gate includes: providing a substrate; forming a sacrificial oxide layer and a polysilicon gate on the substrate; forming a silicon oxide layer on sidewalls of the sacrificial oxide layer and the polysilicon gate; forming a stop layer that covers the substrate; removing a part of the stop layer in the spacers; forming a second interlayer dielectric layer that covers the first interlayer dielectric layer, the spacers and the polysilicon gate; polishing the second interlayer dielectric layer to expose the spacers and the polysilicon gate; removing the polysilicon gate to form a trench; removing the sacrificial oxide layer in the trench; and forming a metal gate in the trench. The invention prevents from recesses and therefore metal bridge and metal residuals in the recesses.
    Type: Grant
    Filed: July 5, 2011
    Date of Patent: August 13, 2013
    Assignee: Semiconductor Manufacturing International (Beijing) Corporation
    Inventors: Li Jiang, Mingqi Li
  • Publication number: 20130200393
    Abstract: A semiconductor structure includes a substrate, a resist layer, a dielectric material, two U-shaped metal layers and two metals. The substrate has an isolation structure. The resist layer is located on the isolation structure. The dielectric material is located on the resist layer. Two U-shaped metal layers are located at the two sides of the dielectric material and on the resist layer. Two metals are respectively located on the two U-shaped metal layers. This way a semiconductor process for forming said semiconductor structure is provided.
    Type: Application
    Filed: February 8, 2012
    Publication date: August 8, 2013
    Inventors: Chieh-Te Chen, Yi-Po Lin, Jiunn-Hsiung Liao, Shui-Yen Lu, Li-Chiang Chen
  • Publication number: 20130200467
    Abstract: A structure and method for forming a dual metal fill and dual threshold voltage for replacement gate metal devices is disclosed. A selective deposition process involving titanium and aluminum is used to allow formation of two adjacent transistors with different fill metals and different workfunction metals, enabling different threshold voltages in the adjacent transistors.
    Type: Application
    Filed: February 7, 2012
    Publication date: August 8, 2013
    Applicant: International Business Machines Corporation
    Inventors: Lisa F. Edge, Nathaniel Berliner, James John Demarest, Balasubramanian S. Haran
  • Publication number: 20130196495
    Abstract: A MOS device and methods for its fabrication are provided. In one embodiment the MOS device is fabricated on and within a semiconductor substrate. The method includes forming a gate structure having a top and sidewalls and having a gate insulator overlying the semiconductor substrate, a gate electrode overlying the gate insulator, and a cap overlying the gate electrode. An oxide liner is deposited over the top and sidewalls of the gate structure. In the method, the cap is etched from the gate structure and oxide needles extending upward from the gate structure are exposed. A stress-inducing layer is deposited over the oxide needles and gate structure and the semiconductor substrate is annealed. Then, the stress-inducing liner is removed.
    Type: Application
    Filed: January 27, 2012
    Publication date: August 1, 2013
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Stefan Flachowsky, Ralf Illgen
  • Publication number: 20130193515
    Abstract: An SRAM structure and method which includes a semiconductor on insulator (SOI) substrate which includes a semiconductor substrate, an insulating layer and a semiconductor on insulator (SOI) layer. The SOI layer has a first thickness. The SRAM structure further includes a FinFET transistor formed on the SOI substrate including a first defined portion of the SOI layer of the first thickness forming an active layer of the FinFET transistor and a gate dielectric on the first defined portion of the SOI layer and a planar transistor formed on the SOI substrate including a second defined portion of the SOI layer of a second thickness forming an active layer of the planar transistor and a gate dielectric on the second defined portion of the SOI layer. The first thickness is greater than the second thickness. Also included is a gate electrode on the FinFET transistor and the planar transistor.
    Type: Application
    Filed: January 26, 2012
    Publication date: August 1, 2013
    Applicant: International Business Machines Corporation
    Inventors: Kangguo Cheng, Wilfried E.-A. Haensch, Ali Khakifirooz, Pranita Kulkarni
  • Publication number: 20130187236
    Abstract: Disclosed herein are methods of forming replacement gate structures. In one example, the method includes forming a sacrificial gate structure above a semiconducting substrate, removing the sacrificial gate structure to thereby define a gate cavity, forming a layer of insulating material in the gate cavity and forming a layer of metal within the gate cavity above the layer of insulating material. The method further includes forming a sacrificial material in the gate cavity so as to cover a portion of the layer of metal and thereby define an exposed portion of the layer of metal, performing an etching process on the exposed portion of the layer of metal to thereby remove the exposed portion of the layer of metal from within the gate cavity, and, after performing the etching process, removing the sacrificial material and forming a conductive material above the remaining portion of the layer of metal.
    Type: Application
    Filed: January 20, 2012
    Publication date: July 25, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Ruilong Xie, Xiuyu Cai, Robert Miller, Andreas Knorr
  • Publication number: 20130187203
    Abstract: Gate to contact shorts are reduced by forming dielectric caps in replaced gate structures. Embodiments include forming a replaced gate structure on a substrate, the replaced gate structure including an ILD having a cavity, a first metal on a top surface of the ILD and lining the cavity, and a second metal on the first metal and filling the cavity, planarizing the first and second metals, forming an oxide on the second metal, removing the oxide, recessing the first and second metals in the cavity, forming a recess, and filling the recess with a dielectric material. Embodiments further include dielectric caps having vertical sidewalls, a trapezoidal shape, a T-shape, or a Y-shape.
    Type: Application
    Filed: January 19, 2012
    Publication date: July 25, 2013
    Applicants: International Business Machines Corporation, GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Ruilong XIE, Balasubramanian Pranatharthi Haran, David V. Horak, Su Chen Fan
  • Publication number: 20130187235
    Abstract: The present disclosure involves a FinFET. The FinFET includes a fin structure formed over a substrate. A gate dielectric layer is least partially wrapped around a segment of the fin structure. The gate dielectric layer contains a high-k gate dielectric material. The FinFET includes a polysilicon layer conformally formed on the gate dielectric layer. The FinFET includes a metal gate electrode layer formed over the polysilicon layer. The present disclosure provides a method of fabricating a FinFET. The method includes providing a fin structure containing a semiconductor material. The method includes forming a gate dielectric layer over the fin structure, the gate dielectric layer being at least partially wrapped around the fin structure. The method includes forming a polysilicon layer over the gate dielectric layer, wherein the polysilicon layer is formed in a conformal manner. The method includes forming a dummy gate layer over the polysilicon layer.
    Type: Application
    Filed: January 19, 2012
    Publication date: July 25, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yuan-Sheng Huang, Tzu-Yen Hsieh, Ming-Ching Chang, Chao-Cheng Chen, Chia-Jen Chen
  • Publication number: 20130189833
    Abstract: Disclosed herein is a method of forming self-aligned contacts for a semiconductor device. In one example, the method includes forming a plurality of spaced-apart sacrificial gate electrodes above a semiconducting substrate, wherein each of the gate electrodes has a gate cap layer positioned on the gate electrode, and performing at least one etching process to define a self-aligned contact opening between the plurality of spaced-apart sacrificial gate electrodes. The method further includes removing the gate cap layers to thereby expose an upper surface of each of the sacrificial gate electrodes, depositing at least one layer of conductive material in said self-aligned contact opening and removing portions of the at least one layer of conductive material that are positioned outside of the self-aligned contact opening to thereby define at least a portion of a self-aligned contact positioned in the self-aligned contact opening.
    Type: Application
    Filed: January 20, 2012
    Publication date: July 25, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Peter Baars, Andy Wei, Erik Geiss, Martin Mazur
  • Publication number: 20130187202
    Abstract: Interlayer dielectric gap fill processes are enhanced by forming gate spacers with a tapered profile. Embodiments include forming a gate electrode on a substrate, depositing a spacer material over the gate electrode and substrate, the spacer layer having a first surface nearest the gate electrode and substrate, a second surface furthest from the gate electrode and substrate, and a continuously increasing etch rate from the first surface to the second surface, and etching the spacer layer to form a spacer on each side of the gate electrode. Embodiments further include forming the spacer layer by depositing a spacer material and continuously decreasing the density of the spacer material during deposition or depositing a carbon-containing spacer material and causing a gradient of carbon content in the spacer layer.
    Type: Application
    Filed: January 19, 2012
    Publication date: July 25, 2013
    Applicant: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Xuesong Rao, Chim Seng Seet, Hai Cong, Zheng Zou, Alex See, Yun Ling Tan, Wen Zhan Zhou, Lup San Leong
  • Patent number: 8492261
    Abstract: A method for manufacturing a III-V CMOS device is disclosed. The device includes a first and second main contact and a control contact. In one aspect, the method includes providing the control contact by using damascene processing. The method thus allows obtaining a control contact with a length of between about 20 nm and 5 ?m and with good Schottky behavior. Using low-resistive materials such as Cu allows reducing the gate resistance thus improving the high-frequency performance of the III-V CMOS device.
    Type: Grant
    Filed: January 19, 2010
    Date of Patent: July 23, 2013
    Assignee: IMEC
    Inventors: Marleen Van Hove, Joff Derluyn
  • Patent number: 8492257
    Abstract: A semiconductor device with a vertical transistor includes a plurality of active pillars; a plurality of vertical gates surrounding sidewalls of the active pillars; a plurality of word lines having exposed sidewalls whose surfaces are higher than the active pillars and connecting the adjacent vertical gates together; and a plurality of spacers surrounding the exposed sidewalls of the word lines over the vertical gates.
    Type: Grant
    Filed: December 28, 2011
    Date of Patent: July 23, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jong-Han Shin
  • Patent number: 8492847
    Abstract: Over a semiconductor substrate, a silicon nitride film is formed so as to cover n-channel MISFETs. The silicon nitride film is a laminate film which may be made of first, second, and third silicon nitride films. The total film thickness of the first and second silicon nitride films is smaller than half a spacing between a first sidewall spacer and a second sidewall spacer. After being deposited, the first and second silicon nitride films are subjected to treatments to have increased tensile stresses. The total film thickness of the first, second, and third silicon nitride films is not less than half the spacing between the first and second sidewall spacers. The third silicon nitride film is not subjected to any tensile-stress-increasing treatment, or may be subjected to a lesser amount of such treatment.
    Type: Grant
    Filed: December 30, 2011
    Date of Patent: July 23, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Tatsunori Murata, Yuki Koide
  • Publication number: 20130181293
    Abstract: A method patterns a polysilicon gate over two immediately adjacent, opposite polarity transistor devices. The method patterns a mask over the polysilicon gate. The mask has an opening in a location where the opposite polarity transistor devices abut one another. The method then removes some (a portion) of the polysilicon gate through the opening to form at least a partial recess (or potentially a complete opening) in the polysilicon gate. The recess separates the polysilicon gate into a first polysilicon gate and a second polysilicon gate. After forming the recess, the method dopes the first polysilicon gate using a first polarity dopant and dopes the second polysilicon gate using a second polarity dopant having an opposite polarity of the first polarity dopant.
    Type: Application
    Filed: January 18, 2012
    Publication date: July 18, 2013
    Applicant: International Business Machines Corporation
    Inventors: Jeffrey P. Gambino, Russell T. Herrin, Mark D. Jaffe, Laura J. Schutz
  • Publication number: 20130181265
    Abstract: Disclosed herein are various methods of forming a gate cap layer above a replacement gate structure, and a device having such a cap layer. In one example, a device disclosed herein includes a replacement gate structure having a dished upper surface, sidewall spacers positioned proximate the replacement gate structure and a gate cap layer positioned above the replacement gate structure, wherein the gate cap layer has a bottom surface that corresponds to the dished upper surface of the replacement gate structure.
    Type: Application
    Filed: January 18, 2012
    Publication date: July 18, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Gunter Grasshoff, Catherine Labelle
  • Patent number: 8486828
    Abstract: A semiconductor device manufacturing method has forming a metal film containing platinum by depositing a metal on a source/drain diffusion layer primarily made of silicon formed on a semiconductor substrate and on a device isolation insulating film; forming a silicide film by silicidation of an upper part of the source/drain diffusion layer by causing a reaction between silicon in the source/drain diffusion layer and the metal on the source/drain diffusion layer by a first heating processing; forming a metal oxide film by a oxidation processing to oxidize selectively at least a surface of the metal film on the device isolation insulating film; increasing the concentration of silicon in the silicide film by a second heating processing; and selectively removing the metal oxide film and an unreacted part of the metal film on the device isolation insulating film.
    Type: Grant
    Filed: May 11, 2011
    Date of Patent: July 16, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kouji Matsuo, Kazuhiko Nakamura
  • Patent number: 8487369
    Abstract: A semiconductor device includes: a plurality of first trenches formed inside a plurality of active regions; a plurality of buried gates configured to partially fill insides of the plurality of the first trenches; a plurality of second trenches formed to be extended in a direction crossing the plurality of the buried gates; and a plurality of buried bit lines configured to fill the plurality of the second trenches.
    Type: Grant
    Filed: July 9, 2010
    Date of Patent: July 16, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Su-Young Kim
  • Publication number: 20130178052
    Abstract: A method is provided for fabricating a transistor. A replacement gate stack is formed on a semiconductor layer, a gate spacer is formed, and a dielectric layer is formed. The dummy gate stack is removed to form a cavity. A gate dielectric and a work function metal layer are formed in the cavity. The cavity is filled with a gate conductor. One and only one of the gate conductor and the work function metal layer are selectively recessed. An oxide film is formed in the recess such that its upper surface is co-planar with the upper surface of the dielectric layer. The oxide film is used to selectively grow an oxide cap. An interlayer dielectric is formed and etched to form a cavity for a source/drain contact. A source/drain contact is formed in the contact cavity, with a portion of the source/drain contact being located directly on the oxide cap.
    Type: Application
    Filed: September 14, 2012
    Publication date: July 11, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Susan S. FAN, Balasubramanian S. HARAN, David V. HORAK, Charles W. KOBURGER, II
  • Publication number: 20130175631
    Abstract: A semiconductor chip has shapes on a particular level that are small enough to require a first mask and a second mask, the first mask and the second mask used in separate exposures during processing. A circuit on the semiconductor chip requires close tracking between a first and a second FET (field effect transistor). For example, the particular level may be a gate shape level. Separate exposures of gate shapes using the first mask and the second mask will result in poorer FET tracking (e.g., gate length, threshold voltage) than for FETs having gate shapes defined by only the first mask. FET tracking is selectively improved by laying out a circuit such that selective FETs are defined by the first mask. In particular, static random access memory (SRAM) design benefits from close tracking of six or more FETs in an SRAM cell.
    Type: Application
    Filed: January 6, 2012
    Publication date: July 11, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Derick G. Behrends, Todd A. Christensen, Travis R. Hebig, Michael Launsbach, Daniel M. Nelson
  • Publication number: 20130178029
    Abstract: A semiconductor device having dislocations and a method of fabricating the semiconductor device is disclosed. The exemplary semiconductor device and method for fabricating the semiconductor device enhance carrier mobility. The method includes providing a substrate having an isolation feature therein and two gate stacks overlying the substrate, wherein one of the gate stacks is atop the isolation feature. The method further includes performing a pre-amorphous implantation process on the substrate. The method further includes forming spacers adjoining sidewalls of the gate stacks, wherein at least one of the spacers extends beyond an edge the isolation feature. The method further includes forming a stress film over the substrate. The method also includes performing an annealing process on the substrate and the stress film.
    Type: Application
    Filed: January 5, 2012
    Publication date: July 11, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Tsan-Chun WANG, Chun Hsiung TSAI
  • Publication number: 20130175597
    Abstract: A floating gate transistor, memory cell, and method of fabricating a device. The floating gate transistor includes one or more gated wires substantially cylindrical in form. The floating gate transistor includes a first gate dielectric layer at least partially covering the gated wires. The floating gate transistor further includes a plurality of gate crystals discontinuously arranged upon the first gate dielectric layer. The floating gate transistor also includes a second gate dielectric layer covering the plurality of gate crystals and the first gate dielectric layer.
    Type: Application
    Filed: January 5, 2012
    Publication date: July 11, 2013
    Applicant: International Business Machines Corporation
    Inventors: Sarunya Bangsaruntip, Guy M. Cohen, Amlan Majumdar, Jeffrey W. Sleight
  • Publication number: 20130175629
    Abstract: A semiconductor device and methods for small trench patterning are disclosed. The device includes a plurality of gate structures and sidewall spacers, an etch stop layer disposed over the sidewall spacers, an interlayer dielectric (ILD) layer disposed on a bottom portion of the etch stop layer, an etch buffer layer disposed on an upper portion of the etch stop layer, and a plurality of metal plugs between the gate structures. An upper portion of the metal plugs is adjacent to the etch buffer layer and a lower portion of the metal plugs is adjacent to the ILD layer.
    Type: Application
    Filed: January 5, 2012
    Publication date: July 11, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Ya Hui Chang
  • Publication number: 20130175610
    Abstract: A transistor device and methods for its fabrication are provided. In an embodiment, the transistor is fabricated within and on a surface of a semiconductor substrate. The method includes forming a gate structure with a dummy gate electrode material overlying the semiconductor substrate. Recesses are etched into the semiconductor substrate adjacent the gate structure to define a narrow region between the recesses at a selected depth under the surface. The recesses are filled with a stress-inducing material and the dummy gate electrode material is removed to expose the semiconductor substrate. The method further provides for etching the exposed semiconductor substrate to form a recessed gate surface and defining a channel under the recessed gate surface in the narrow region.
    Type: Application
    Filed: January 10, 2012
    Publication date: July 11, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Stefan Flachowsky, Frank Wirbeleit
  • Publication number: 20130175577
    Abstract: Disclosed herein is an NFET device with a tensile stressed channel region and various methods of making such an NFET device. In one example, the NFET transistor includes a semiconducting substrate, a first layer of semiconductor material positioned above the substrate, a second capping layer of semiconductor material positioned above the first layer of semiconductor material and a gate electrode structure positioned above the second capping layer of semiconductor material.
    Type: Application
    Filed: January 9, 2012
    Publication date: July 11, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Chung Foong Tan, Maciej Wiatr, Stephan Kronholz
  • Publication number: 20130175630
    Abstract: A transistor includes a semiconductor layer and a gate structure located on the semiconductor layer. The gate structure includes a first dielectric layer. The first dielectric layer includes a doped region and an undoped region below the doped region. A second dielectric layer is located on the first dielectric layer, and a first metal nitride layer is located on the second dielectric layer. The doped region of the first dielectric layer comprises dopants from the second dielectric layer. Source and drain regions in the semiconductor layer are located on opposite sides of the gate structure.
    Type: Application
    Filed: January 6, 2012
    Publication date: July 11, 2013
    Applicant: International Business Machines Corporation
    Inventors: Takashi ANDO, Eduard A. Cartier, Unoh Kwon, Vijay Narayanan
  • Publication number: 20130178055
    Abstract: Disclosed herein are methods of forming a replacement gate structure having a reentrant profile. In one example, the method includes forming a layer of material for a sacrificial gate electrode, wherein the layer of material includes at least one impurity that changes the etch rate of the layer of material as compared to an etch rate for the layer of material without the impurity, and wherein a concentration of the at least one impurity varies along a direction that corresponds to a thickness of the layer of material, and performing another etching process on the layer of material to define a sacrificial gate electrode. The method concludes with the steps of performing another etching process to remove the sacrificial gate electrode so as to at least partially define a gate opening in a layer of insulating material and forming a replacement gate structure in the gate opening.
    Type: Application
    Filed: January 9, 2012
    Publication date: July 11, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Andre P. LaBonte, Phillip L. Jones