Chemical Cleaning (epo) Patents (Class 257/E21.224)
  • Patent number: 11139171
    Abstract: Disclosed are a substrate treating apparatus and a substrate treating method. The substrate treating apparatus includes a chamber providing an interior space for treating a substrate, a support unit provided in the chamber and configured to support the substrate, a first ejection unit having a first nozzle configured to supply a first cleaning medium in an aerosol state to the substrate supported by the support unit, and a second ejection unit having a second nozzle configured to supply a second cleaning medium to the substrate supported by the support unit.
    Type: Grant
    Filed: July 17, 2019
    Date of Patent: October 5, 2021
    Inventor: Youngje Um
  • Patent number: 10777509
    Abstract: A semiconductor wafer has a base material with a first thickness and first and second surfaces. A wafer scribe mark is disposed on the first surface of the base material. A portion of an interior region of the second surface of the base material is removed to a second thickness less than the first thickness, while leaving an edge support ring of the base material of the first thickness and an asymmetric width around the semiconductor wafer. The second thickness of the base material is less than 75 micrometers. The wafer scribe mark is disposed within the edge support ring. The removed portion of the interior region of the second surface of the base material is vertically offset from the wafer scribe mark. A width of the edge support ring is wider to encompass the wafer scribe mark and narrower elsewhere around the semiconductor wafer.
    Type: Grant
    Filed: September 17, 2018
    Date of Patent: September 15, 2020
    Assignee: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
    Inventor: Michael J. Seddon
  • Patent number: 10714333
    Abstract: Devices and methods for selectively oxidizing silicon are described herein. An apparatus for selective oxidation of exposed silicon surfaces includes a thermal processing chamber with a plurality of walls, first inlet connection and a second inlet connection, wherein the walls define a processing region within the processing chamber, a substrate support within the processing chamber, a hydrogen source connected with the first inlet connection, a heat source connected with the hydrogen source, and a remote plasma source connected with the second inlet connection and an oxygen source. A method for selective oxidation of non-metal surfaces, can include positioning a substrate in a processing chamber at a temperature less than 800° C., flowing hydrogen into the processing chamber, generating a remote plasma comprising oxygen, mixing the remote plasma with the hydrogen gas in the processing chamber to create an activated processing gas, and exposing the substrate to the activated gas.
    Type: Grant
    Filed: June 15, 2016
    Date of Patent: July 14, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Heng Pan, Matthew Scott Rogers, Agus S. Tjandra, Christopher S. Olsen
  • Patent number: 10643951
    Abstract: A wafer includes a first face having a first center, and a second face having a second center. The first and second centers are each arranged on a central axis, which passes through the first face and the second face. The first face and the second face adjoin one another at a circumferential edge. An alignment notch is disposed along the circumferential edge, and extends inwardly from the circumferential edge by an alignment notch radial distance. The alignment notch radial distance is less than a wafer radius as measured from the first center to the circumferential edge. A die region includes an array of die arranged in rows and columns and is circumferentially bounded by a die-less region which is devoid of die. A first identification mark including a string of characters is disposed entirely in the die-less region to a first side of the alignment notch.
    Type: Grant
    Filed: February 26, 2018
    Date of Patent: May 5, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yue-Lin Peng, Cheng-Yi Huang, Fu-Jen Li, Shou-Wen Kuo
  • Patent number: 10615279
    Abstract: A method forming a semiconductor device that in one embodiment includes forming a gate structure on a channel region of fin structures, and forming a flowable dielectric material on a source region portion and a drain region portion of the fin structures. The flowable dielectric material is present at least between adjacent fin structures of the plurality of fin structures filling a space between the adjacent fin structures. An upper surface of the source region portion and the drain region portion of fin structures is exposed. An epitaxial semiconductor material is formed on the upper surface of the source region portion and the drain region portion of the fin structures.
    Type: Grant
    Filed: March 15, 2016
    Date of Patent: April 7, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Eric C. Harley, Judson R. Holt, Yue Ke, Rishikesh Krishnan, Keith H. Tabakman, Henry K. Utomo
  • Patent number: 10615127
    Abstract: A semiconductor wafer has a base material with a first thickness and first and second surfaces. A wafer scribe mark is disposed on the first surface of the base material. A portion of an interior region of the second surface of the base material is removed to a second thickness less than the first thickness, while leaving an edge support ring of the base material of the first thickness and an asymmetric width around the semiconductor wafer. The second thickness of the base material is less than 75 micrometers. The wafer scribe mark is disposed within the edge support ring. The removed portion of the interior region of the second surface of the base material is vertically offset from the wafer scribe mark. A width of the edge support ring is wider to encompass the wafer scribe mark and narrower elsewhere around the semiconductor wafer.
    Type: Grant
    Filed: September 17, 2018
    Date of Patent: April 7, 2020
    Assignee: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
    Inventor: Michael J. Seddon
  • Patent number: 9917190
    Abstract: A method forming a semiconductor device that in one embodiment includes forming a gate structure on a channel region of fin structures, and forming a flowable dielectric material on a source region portion and a drain region portion of the fin structures. The flowable dielectric material is present at least between adjacent fin structures of the plurality of fin structures filling a space between the adjacent fin structures. An upper surface of the source region portion and the drain region portion of fin structures is exposed. An epitaxial semiconductor material is formed on the upper surface of the source region portion and the drain region portion of the fin structures.
    Type: Grant
    Filed: October 15, 2015
    Date of Patent: March 13, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Eric C. Harley, Judson R. Holt, Yue Ke, Rishikesh Krishnan, Keith H. Tabakman, Henry K. Utomo
  • Patent number: 9716091
    Abstract: A fin field effect transistor (FinFET) including a first insulation region and a second insulation region and fin there between. A gate stack is disposed over a first portion of the fin. A strained source/drain material is disposed over a second portion of the fin. The strained source/drain material has a flat top surface extending over the first and second insulation regions. The first insulation region may include a tapered top surface.
    Type: Grant
    Filed: June 27, 2016
    Date of Patent: July 25, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Ta Lin, Chu-Yun Fu, Hung-Ming Chen, Shu-Tine Yang, Shin-Yeh Huang
  • Patent number: 9620503
    Abstract: A FinFET including a substrate, a plurality of isolators, a gate stack, and strained material portions is provided. The substrate includes at least two fins thereon. The isolators are disposed on the substrate, and each of the insulators between the fins has a recess profile. The gate stack is disposed over portions of the fins and over the insulators. The strained material portions cover the fins revealed by the gate stack. In addition, a method for fabricating the FinFET is provided.
    Type: Grant
    Filed: November 16, 2015
    Date of Patent: April 11, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-I Liao, Shih-Chieh Chang, Chun-Ju Huang, Chien-Wei Lee, Chii-Ming Wu
  • Patent number: 9312364
    Abstract: A method forming a semiconductor device that in one embodiment includes forming a gate structure on a channel region of fin structures, and forming a flowable dielectric material on a source region portion and a drain region portion of the fin structures. The flowable dielectric material is present at least between adjacent fin structures of the plurality of fin structures filling a space between the adjacent fin structures. An upper surface of the source region portion and the drain region portion of fin structures is exposed. An epitaxial semiconductor material is formed on the upper surface of the source region portion and the drain region portion of the fin structures.
    Type: Grant
    Filed: May 27, 2014
    Date of Patent: April 12, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Eric C. Harley, Judson R. Holt, Yue Ke, Rishikesh Krishnan, Keith H. Tabakman, Henry K. Utomo
  • Patent number: 9029213
    Abstract: At least one semiconductor fin is formed over an insulator layer. Portions of the insulator layer are etched from underneath the at least one semiconductor fin. The amount of the etched portions of the insulator is selected such that a metallic gate electrode layer fills the entire gap between the recessed surfaces of the insulator layer and the bottom surface(s) of the at least one semiconductor fin. An interface between the metallic gate electrode layer and a semiconductor gate electrode layer contiguously extends over the at least one semiconductor fin and does not underlie any of the at least one semiconductor fin. During patterning of a gate electrode, removal of the semiconductor material in the semiconductor gate electrode layer can be facilitated because the semiconductor gate electrode layer is not present under the at least one semiconductor fin.
    Type: Grant
    Filed: May 10, 2013
    Date of Patent: May 12, 2015
    Assignee: International Business Machines Corporation
    Inventors: Josephine B. Chang, Isaac Lauer, Chung-Hsun Lin, Jeffrey N. Sleight
  • Patent number: 8809940
    Abstract: A FinFET is described, the FinFET includes a substrate including a top surface and a first insulation region and a second insulation region over the substrate top surface comprising tapered top surfaces. The FinFET further includes a fin of the substrate extending above the substrate top surface between the first and second insulation regions, wherein the fin includes a recessed portion having a top surface lower than the tapered top surfaces of the first and second insulation regions, wherein the fin includes a non-recessed portion having a top surface higher than the tapered top surfaces. The FinFET further includes a gate stack over the non-recessed portion of the fin.
    Type: Grant
    Filed: April 9, 2013
    Date of Patent: August 19, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Ta Lin, Chu-Yun Fu, Shin-Yeh Huang, Shu-Tine Yang, Hung-Ming Chen
  • Patent number: 8778808
    Abstract: The invention relates to semiconductor devices and a method of fabricating the same. In accordance with a method of fabricating a semiconductor device according to an aspect of the invention, a tunnel insulating layer, a first conductive layer, a dielectric layer, a second conductive layer, and a gate electrode layer are sequentially stacked over a semiconductor substrate. The gate electrode layer, the second conductive layer, the dielectric layer, and the first conductive layer are patterned so that the first conductive layer partially remains to prevent the tunnel insulating layer from being exposed. Sidewalls of the gate electrode layer are etched. A first passivation layer is formed on the entire surface including the sidewalls of the gate electrode layer. At this time, a thickness of the first passivation layer formed on the sidewalls of the gate electrode layer is thicker than that of the first passivation layer formed in other areas.
    Type: Grant
    Filed: August 10, 2011
    Date of Patent: July 15, 2014
    Assignee: SK hynix Inc.
    Inventor: Kwang Seok Jeon
  • Patent number: 8765606
    Abstract: Methods are provided for producing a pristine hydrogen-terminated silicon wafer surface with high stability against oxidation. The silicon wafer is treated with high purity, heated dilute hydrofluoric acid with anionic surfactant, rinsed in-situ with ultrapure water at room temperature, and dried. Alternatively, the silicon wafer is treated with dilute hydrofluoric acid, rinsed with hydrogen gasified water, and dried. The silicon wafer produced by the method is stable in a normal clean room environment for greater than 3 days and has been demonstrated to last without significant oxide regrowth for greater than 8 days.
    Type: Grant
    Filed: October 14, 2008
    Date of Patent: July 1, 2014
    Assignee: ASM America, Inc.
    Inventor: Robert H. Pagliaro, Jr.
  • Patent number: 8703605
    Abstract: A method for forming a contact opening, such as a via hole, is provided. In the method, a sacrificial layer is deposited over a damascene feature prior to exposing a conductor formed in a substrate at a bottom of the opening. The sacrificial layer is provided to prevent damage or contamination of materials used. Even after the conductor has been exposed once or more times, the sacrificial layer can be deposited over the damascene feature to protect it from further damage or contamination by a subsequent process that will further expose the conductor at the contact opening bottom. The exposing step may form a recess in the conductor. By further forming a trench feature over the contact opening, a dual damascene feature can be fabricated.
    Type: Grant
    Filed: July 7, 2010
    Date of Patent: April 22, 2014
    Inventor: Byung Chun Yang
  • Patent number: 8647439
    Abstract: Methods of preparing a clean surface of germanium tin or silicon germanium tin layers for subsequent deposition are provided. An overlayer of Ge, doped Ge, another GeSn or SiGeSn layer, a doped GeSn or SiGeSn layer, an insulator, or a metal can be deposited on a prepared GeSn or SiGeSn layer by positioning a substrate with an exposed germanium tin or silicon germanium tin layer in a processing chamber, heating the processing chamber and flowing a halide gas into the processing chamber to etch the surface of the substrate using either thermal or plasma assisted etching followed by depositing an overlayer on the substantially oxide free and contaminant free surface. Methods can also include the placement and etching of a sacrificial layer, a thermal clean using rapid thermal annealing, or a process in a plasma of nitrogen trifluoride and ammonia gas.
    Type: Grant
    Filed: April 26, 2012
    Date of Patent: February 11, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Errol Antonio C. Sanchez, Yi-Chiau Huang
  • Publication number: 20130288480
    Abstract: Methods of preparing a clean surface of germanium tin or silicon germanium tin layers for subsequent deposition are provided. An overlayer of Ge, doped Ge, another GeSn or SiGeSn layer, a doped GeSn or SiGeSn layer, an insulator, or a metal can be deposited on a prepared GeSn or SiGeSn layer by positioning a substrate with an exposed germanium tin or silicon germanium tin layer in a processing chamber, heating the processing chamber and flowing a halide gas into the processing chamber to etch the surface of the substrate using either thermal or plasma assisted etching followed by depositing an overlayer on the substantially oxide free and contaminant free surface. Methods can also include the placement and etching of a sacrificial layer, a thermal clean using rapid thermal annealing, or a process in a plasma of nitrogen trifluoride and ammonia gas.
    Type: Application
    Filed: April 26, 2012
    Publication date: October 31, 2013
    Applicant: Applied Materials, Inc.
    Inventors: ERROL ANTONIO C. SANCHEZ, YI-CHIAU HUANG
  • Patent number: 8569877
    Abstract: Embodiments of the present invention are directed to metallic solderability preservation coating on connectors of semiconductor package to prevent oxide. Singulated semiconductor packages can have contaminants, such as oxides, on exposed metal areas of the connectors. Oxidation typically occurs on the exposed metal areas when the semiconductor packages are not stored in appropriate environments. Copper oxides prevent the connectors from soldering well. An anti-tarnish solution of the present invention is used to coat the connectors during sawing, after sawing, or both of a semiconductor array to preserve metallic solderability. The anti-tarnish solution is a metallic solution, which advantageously allows the semiconductor packages to not need be assembled immediately after fabrication.
    Type: Grant
    Filed: October 15, 2009
    Date of Patent: October 29, 2013
    Assignee: UTAC Thai Limited
    Inventors: Woraya Benjavasukul, Thipyaporn Somrubpornpinan, Panikan Charapaka
  • Patent number: 8557705
    Abstract: A method of manufacturing a semiconductor device in which an insulating film is filled between patterns etched into a workpiece structure is disclosed. The method includes cleaning etch residues residing between the etched patterns by a first chemical liquid; rinsing the workpiece structure cleaned by the first chemical liquid by a rinse liquid; and coating the workpiece structure rinsed by the rinse liquid with a coating liquid for formation of the insulating film. The cleaning to the coating are carried out within the same processing chamber such that a liquid constantly exists between the patterns of the workpiece structure.
    Type: Grant
    Filed: September 19, 2011
    Date of Patent: October 15, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takeshi Hizawa, Nobuhide Yamada, Yoshihiro Ogawa, Masahiro Kiyotoshi
  • Patent number: 8545640
    Abstract: In a substrate processing method according to the present invention, a cleaning liquid nozzle supplies a rinsing liquid to a central portion of a substrate and thereafter moves from a position corresponding to the central portion of the substrate to a position corresponding to a peripheral, edge portion thereof while supplying the rinsing liquid before stopping at the position corresponding to the peripheral edge portion. Next, a drying liquid nozzle moves from the position corresponding to the peripheral edge portion to the position corresponding to the central portion while supplying a drying liquid. Then, the drying liquid nozzle is kept stationary at the position corresponding to the central portion for a predetermined period of time while supplying the drying liquid. Thereafter, a gas nozzle moves from the position corresponding to the central portion to the position corresponding to the peripheral edge portion while supplying an inert gas.
    Type: Grant
    Filed: June 16, 2011
    Date of Patent: October 1, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Teruomi Minami, Naoyuki Okamura, Yosuke Kawabuchi
  • Patent number: 8440517
    Abstract: The disclosure relates to a fin field effect transistor (FinFET). An exemplary structure for a FinFET comprises a substrate comprising a top surface; a first insulation region and a second insulation region over the substrate top surface comprising tapered top surfaces; a fin of the substrate extending above the substrate top surface between the first and second insulation regions, wherein the fin comprises a recessed portion having a top surface lower than the tapered top surfaces of the first and second insulation regions, wherein the fin comprises a non-recessed portion having a top surface higher than the tapered top surfaces; and a gate stack over the non-recessed portion of the fin.
    Type: Grant
    Filed: October 13, 2010
    Date of Patent: May 14, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Ta Lin, Chu-Yun Fu, Shin-Yeh Huang, Shu-Tine Yang, Hung-Ming Chen
  • Patent number: 8431443
    Abstract: Embodiments of the present invention are directed to metallic solderability preservation coating on connectors of semiconductor package to prevent oxide. Singulated semiconductor packages can have contaminants, such as oxides, on exposed metal areas of the connectors. Oxidation typically occurs on the exposed metal areas when the semiconductor packages are not stored in appropriate environments. Copper oxides prevent the connectors from soldering well. An anti-tarnish solution of the present invention is used to coat the connectors during sawing, after sawing, or both of a semiconductor array to preserve metallic solderability. The anti-tarnish solution is a metallic solution, which advantageously allows the semiconductor packages to not need be assembled immediately after fabrication.
    Type: Grant
    Filed: June 8, 2011
    Date of Patent: April 30, 2013
    Assignee: Utac Thai Limited
    Inventors: Woraya Benjavasukul, Thipyaporn Somrubpornpinan, Panikan Charapaka
  • Publication number: 20130045584
    Abstract: The invention relates to a method of eliminating fragments of material present on the exposed surface of a first wafer bonded to a second wafer, the method including a step consisting of placing the first wafer in a liquid solution and propagating ultrasonic waves in the solution. The invention also relates to a process for manufacturing a multilayer structure comprising the following successive steps: bonding of a first wafer to a second wafer so as to form a multilayer structure; annealing of the structure; and thinning of the first wafer, including at least one step of chemically etching the first wafer. The process further includes, after the chemical etching step, the elimination of fragments of material present on the exposed surface of the thinned first wafer.
    Type: Application
    Filed: February 7, 2011
    Publication date: February 21, 2013
    Applicant: SOITEC
    Inventor: Benedicte Osternaud
  • Patent number: 8367476
    Abstract: Embodiments of the present invention are directed to metallic solderability preservation coating on connectors of semiconductor package to prevent oxide. Singulated semiconductor packages can have contaminants, such as oxides, on exposed metal areas of the connectors. Oxidation typically occurs on the exposed metal areas when the semiconductor packages are not stored in appropriate environments. Copper oxides prevent the connectors from soldering well. An anti-tarnish solution of the present invention is used to coat the connectors during sawing, after sawing, or both of a semiconductor array to preserve metallic solderability. The anti-tarnish solution is a metallic solution, which advantageously allows the semiconductor packages to not need be assembled immediately after fabrication.
    Type: Grant
    Filed: October 15, 2009
    Date of Patent: February 5, 2013
    Assignee: UTAC Thai Limited
    Inventors: Woraya Benjavasukul, Thipyaporn Somrubpornpinan, Panikan Charapaka
  • Patent number: 8309472
    Abstract: A method for fabricating semiconductor devices includes providing a semiconductor substrate having a surface region containing one or more contaminants and having an overlying oxide layer. In an embodiment, the one or more contaminants are at least a carbon species. The method includes processing the surface region using at least a wet processing process to selectively remove the overlying oxide layer and expose the surface region including the one or more contaminants. The method includes subjecting the surface region to a high energy electromagnetic radiation having wavelengths ranging from about 300 to about 800 nanometers for a time period of less than 1 second to increase a temperature of the surface region to greater than 1000 degrees Celsius to remove the one or more contaminants. The method includes removing the high energy electromagnetic radiation to cause a reduction in temperature to about 300 to about 600 degrees Celsius in a time period of less than 1 second.
    Type: Grant
    Filed: August 26, 2010
    Date of Patent: November 13, 2012
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: David Gao, Fumitake Mieno
  • Patent number: 8278186
    Abstract: The present invention relates to a wafer cleaning and a wafer bonding method using the same that can improve a yield of cleaning process and bonding property in bonding the cleaned wafer by cleaning the wafer using atmospheric pressure plasma and cleaning solution. The wafer cleaning method includes the steps of providing a process chamber with a wafer whose bonding surface faces upward, cleaning and surface-treating the bonding surface of the wafer by supplying atmospheric pressure plasma and a cleaning solution to the bonding surface of the wafer, and withdrawing out the wafer from the process chamber.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: October 2, 2012
    Assignee: Ltrin Co., Ltd.
    Inventors: Yong Won Cha, Dong Chul Kim
  • Patent number: 8277570
    Abstract: A method for processing a substrate includes receiving a substrate and processing the substrate using a first fluid meniscus and a second fluid meniscus. The first fluid meniscus and the second fluid meniscus are applied to a surface of the substrate such that the first fluid meniscus is spaced apart from the second fluid meniscus by a transition region. A saturated gas chemistry is applied to the surface of the substrate at the transition region. The saturated gas chemistry is configured to maintain moisture in the transition region so as to prevent drying of the surface of the substrate in the transition region, before the second fluid meniscus is applied to the surface of the substrate.
    Type: Grant
    Filed: August 10, 2011
    Date of Patent: October 2, 2012
    Assignee: LAM Research Corporation
    Inventors: Seokmin Yun, Mark Wilcosson
  • Patent number: 8268726
    Abstract: A method for fabricating a semiconductor device includes forming a plurality of plugs over a die region and an edge bead removal (EBR) region of a wafer, forming metal lines coupled to the plugs, removing the metal lines in the EBR region, forming an inter-layer dielectric layer over the wafer, and forming a plurality of contact holes that expose the metal lines by selectively etching the inter-layer dielectric layer through a dry etch process using a plasma etch device.
    Type: Grant
    Filed: July 9, 2010
    Date of Patent: September 18, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hae-Jung Lee, Kang-Pok Lee, Kyeong-Hyo Lee
  • Patent number: 8268675
    Abstract: Methods of protecting a surface of a copper layer or a copper bonding pad on a semiconductor device against oxidation. A surface of the layer or bonding pad is cleaned by removing an oxidation layer with a plasma. A polymer layer is formed on the cleaned surface of the layer using a plasma-enhanced deposition process to protect the cleaned surface of the layer against exposure to an oxidizing gas.
    Type: Grant
    Filed: February 11, 2011
    Date of Patent: September 18, 2012
    Assignee: Nordson Corporation
    Inventors: David Keating Foote, James Donald Getty
  • Patent number: 8268085
    Abstract: A method for cleaning a diffusion barrier over a gate dielectric of a metal-gate transistor over a substrate is provided. The method includes cleaning the diffusion barrier with a first solution including at least one surfactant. The amount of the surfactant of the first solution is about a critical micelle concentration (CMC) or more. The diffusion barrier is cleaned with a second solution. The second solution has a physical force to remove particles over the diffusion barrier. The second solution is substantially free from interacting with the diffusion barrier.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: September 18, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Matt Yeh, Shun Wu Lin, Hui Ouyang
  • Patent number: 8222136
    Abstract: The present disclosure provides a method of fabricating a semiconductor device. The method includes forming a layer over a substrate. The method includes forming a first opening in the layer that exposes a first region of the substrate. The method includes removing a first oxidation layer formed over the first region through a first sputtering process. The method includes filling the first opening with a conductive material. The method includes forming a second opening in the layer that exposes a second region of the substrate, the second region being different from the first region. The method includes removing a second oxidation layer formed over the second region through a second sputtering process. One of the first and second sputtering processes is more powerful than the other.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: July 17, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yuan-Tien Tu, Tsai-Chun Li, Huan-Just Lin, Shih-Chang Chen
  • Publication number: 20120174944
    Abstract: A cleaning method for a SiC semiconductor includes the step of forming an oxide film on a front surface of a SiC semiconductor, and the step of removing the oxide film, and oxygen plasma is used in the step of forming the oxide film. Hydrogen fluoride may be used in the step of removing the oxide film. Thereby, a cleaning effect on the SiC semiconductor can be exhibited.
    Type: Application
    Filed: April 21, 2011
    Publication date: July 12, 2012
    Applicant: Sumitomo Electric Industries, Ltd.
    Inventors: Tomihito Miyazaki, Keiji Wada, Toru Hiyoshi
  • Patent number: 8207060
    Abstract: The present invention provides a method of forming a contact opening, such as a via hole, in which a sacrificial layer is deposited prior to exposing a conductor formed in a substrate at a bottom side of the opening to prevent damage and contamination to the materials constituting an integrated circuit device from happening. The exposing may or may not form a recess in the conductor. The present invention also provides a method of forming a contact opening having a recess in the conductor wherein a sacrificial layer is not deposited until the conductor is exposed, but deposited before a recess is formed in the conductor so that a major damage and contamination related to the recess formation can be prevented. By forming a trench feature over a contact opening formed by using the present invention, a dual damascene feature can be fabricated.
    Type: Grant
    Filed: December 18, 2008
    Date of Patent: June 26, 2012
    Inventor: Byung Chun Yang
  • Patent number: 8183158
    Abstract: A method for using a semiconductor processing apparatus includes supplying an oxidizing gas and a reducing gas into a process container of the processing apparatus accommodating no product target substrate therein; causing the oxidizing gas and the reducing gas to react with each other within a first atmosphere that activates the oxidizing gas and the reducing gas inside the process container, thereby generating radicals; and removing a contaminant from an inner surface of the process container by use of the radicals.
    Type: Grant
    Filed: October 17, 2007
    Date of Patent: May 22, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masahiko Tomita, Kota Umezawa, Ryou Son, Toshiharu Nishimura
  • Publication number: 20120094493
    Abstract: A method of manufacturing a semiconductor device in which an insulating film is filled between patterns etched into a workpiece structure is disclosed. The method includes cleaning etch residues residing between the etched patterns by a first chemical liquid; rinsing the workpiece structure cleaned by the first chemical liquid by a rinse liquid; and coating the workpiece structure rinsed by the rinse liquid with a coating liquid for formation of the insulating film. The cleaning to the coating are carried out within the same processing chamber such that a liquid constantly exists between the patterns of the workpiece structure.
    Type: Application
    Filed: September 19, 2011
    Publication date: April 19, 2012
    Inventors: Takeshi Hizawa, Nobuhide Yamada, Yoshihiro Ogawa, Masahiro Kiyotoshi
  • Patent number: 8153527
    Abstract: A method for fabricating a semiconductor device is provided. The method comprising forming a first layer over a substrate and a second layer over the first layer. A patterned masking layer is subsequently provided over the second layer and a patterned second layer with outwardly tapered sidewalls is formed by isotropically etching exposed portions of the second layer. A patterned first layer is the formed by etching the first layer in accordance with the patterned second layer.
    Type: Grant
    Filed: October 13, 2008
    Date of Patent: April 10, 2012
    Assignee: Globalfoundries Singapore Pte. Ltd.
    Inventors: Soon Yoong Loh, Carol Goh, Kin Wai Tang, Kim Foong Kong
  • Patent number: 8148272
    Abstract: A method for fabricating semiconductor devices, e.g., strained silicon MOS device, includes providing a semiconductor substrate (e.g., silicon wafer) having a surface region, which has one or more contaminants and an overlying oxide layer. The one or more contaminants is at least a carbon species. The method also includes processing the surface region using at least a wet process to selectively remove the oxide layer and expose the surface region. The method further includes subjecting the surface region to a laser treatment process for a time period of less than 1 second to increase a temperature of the surface region to greater than 1000 degrees Celsius to remove the one or more contaminants provided on the surface region. The method also includes removing the laser treatment process to cause a reduction in temperature to about 300 to about 600 degrees Celsius in a time period of less than 1 second.
    Type: Grant
    Filed: July 22, 2010
    Date of Patent: April 3, 2012
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: David Gao, Fumitake Mieno
  • Patent number: 8138101
    Abstract: The present invention is provided in order to remove contamination due to contaminant impurities of the interfaces of each film which forms a TFT, which is the major factor that reduces the reliability of TFTs. By connecting a washing chamber and a film formation chamber, film formation can be carried out without exposing TFTs to the air during the time from washing step to the film formation step and it becomes possible to maintain the cleanliness of the interfaces of each film which form the TFT.
    Type: Grant
    Filed: April 17, 2007
    Date of Patent: March 20, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Taketomi Asami, Mitsuhiro Ichijo, Satoshi Toriumi, Takashi Ohtsuki, Shunpei Yamazaki
  • Publication number: 20120061806
    Abstract: A method of drying a surface of a substrate is provided. The method includes supporting a substrate; rotating the substrate about a rotational center point; applying a liquid to the substrate via a liquid dispenser; applying a drying fluid to the substrate via a drying fluid dispenser; moving the drying fluid dispenser and the liquid dispenser in a direction toward an edge region of the substrate, the drying fluid being applied closer to the rotational center point than the fluid; upon the liquid being applied to the edge region of the substrate, discontinuing application of the liquid while continuing the manipulation of the drying fluid dispenser; and upon the drying fluid being applied to the edge region of the substrate, continuing to apply the drying fluid for a predetermined period of time.
    Type: Application
    Filed: November 15, 2011
    Publication date: March 15, 2012
    Inventors: Zhi (Lewis) Liu, Ismail Kashkoush, Hanjoo Lee
  • Patent number: 8114739
    Abstract: Methods are provided for fabricating a transistor. An exemplary method involves depositing an oxide layer overlying a layer of semiconductor material, forming an oxygen-diffusion barrier layer overlying the oxide layer, forming a layer of high-k dielectric material overlying the oxygen-diffusion barrier layer, forming a layer of conductive material overlying the layer of high-k dielectric material, selectively removing portions of the layer of conductive material, the layer of high-k dielectric material, the oxygen-diffusion barrier layer, and the oxide layer to form a gate stack, and forming source and drain regions about the gate stack. When the conductive material is an oxygen-gettering conductive material, the oxygen-diffusion barrier layer prevents diffusion of oxygen from the deposited oxide layer to the oxygen-gettering conductive material.
    Type: Grant
    Filed: September 28, 2009
    Date of Patent: February 14, 2012
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Murshed M. Chowdhury, James K. Schaeffer
  • Publication number: 20120009693
    Abstract: A system and method for processing a wafer includes a charge neutralization system. The wafer processing system includes a wafer measuring device that can measure characteristics of a surface of the semiconductor wafer. One or more wafer processing stations perform a chemical mechanical polish (CMP) process on the wafer surface. A desica cleaning station can clean and dry the semiconductor wafer. The wafer processing system further includes a charge neutralizing device that can alter a surface charge of the wafer surface.
    Type: Application
    Filed: July 12, 2010
    Publication date: January 12, 2012
    Applicant: STMicroelectronics, Inc.
    Inventor: John H. Zhang
  • Publication number: 20110309376
    Abstract: A method of cleaning an SiC semiconductor capable of exhibiting an effect of cleaning an SiC semiconductor is provided. An SiC semiconductor and an SiC semiconductor device capable of achieving improved characteristics are provided. The method of cleaning an SiC semiconductor includes the steps of forming an oxide film on a surface of an SiC semiconductor (step S2) and removing the oxide film (step S3). In the forming step (step S2), the oxide film is formed in a dry atmosphere at a temperature not lower than 700° C. that contains O element. The SiC semiconductor is an SiC semiconductor having a surface and the surface has metal surface density not higher than 1×1012 cm?2. The SiC semiconductor device includes an SiC semiconductor and an oxide film formed on a surface of the SiC semiconductor.
    Type: Application
    Filed: May 6, 2011
    Publication date: December 22, 2011
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Toru HIYOSHI, Keiji WADA, Takeyoshi MASUDA, Hiromu SHIOMI, Satomi ITOH, Tomihito MIYAZAKI
  • Patent number: 8076219
    Abstract: A process for reducing or suppressing the appearance of watermarks in a hydrophobic surface of a semiconductor substrate prepared as a base substrate for epitaxial growth. The process includes cleaning the hydrophobic surface of the semiconductor substrate with an aqueous solution containing hydrofluoric acid (HF) and an additional acid having a pKa of less than 3, preferably hydrochloric acid (HCl), wherein the additional acid is present in the solution at a concentration by weight that is less than that of the HF; and final rinsing the cleaned hydrophobic surface of the semiconductor substrate with deionised water while subjecting the hydrophobic surface of the semiconductor substrate to megasonic waves for a time sufficient to reduce or suppress watermarks that could otherwise occur on the hydrophobic surface if the megasonic waves were not applied.
    Type: Grant
    Filed: November 18, 2008
    Date of Patent: December 13, 2011
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventor: Khalid Radouane
  • Patent number: 8071480
    Abstract: Methods and apparatuses for removing polysilicon material from a semiconductor workpiece are disclosed. A particular method includes contacting a polishing pad with a semiconductor workpiece having a surface polysilicon material. The method also includes disposing a polishing liquid between the polysilicon material and the polishing pad. The polishing liquid contains an oxidizer that does not include metal elements. The method further includes moving at least one of the semiconductor workpiece and the polishing pad relative to the other while the semiconductor workpiece contacts the polishing pad and the polishing liquid. At least some of the polysilicon material is removed while the polysilicon material contacts the oxidizer in the polishing liquid, as at least one of the semiconductor workpiece and the polishing pad moves relative to the other.
    Type: Grant
    Filed: June 17, 2010
    Date of Patent: December 6, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Jin Lu
  • Publication number: 20110294299
    Abstract: A method for removing silicon oxide based residue from a stack with a doped silicon oxide layer with features with diameters less than 100 nm is provided. A wet clean solution of between 25% to 60% by weight of NH4F, and between 0.05% and 5% by weight of phosphoric acid, and between 0.05% and 5% by weight citric acid, in a water solvent is provided to an area on a surface of the stack. The wet clean solution is removed from the area on the surface of the stack between 0.5 to 10 seconds after the area on the surface of the stack was exposed to the wet clean solution.
    Type: Application
    Filed: May 26, 2010
    Publication date: December 1, 2011
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Katrina Mikhaylichenko, Denis Syomin
  • Publication number: 20110294301
    Abstract: A method for processing a substrate includes receiving a substrate and processing the substrate using a first fluid meniscus and a second fluid meniscus. The first fluid meniscus and the second fluid meniscus are applied to a surface of the substrate such that the first fluid meniscus is spaced apart from the second fluid meniscus by a transition region. A saturated gas chemistry is applied to the surface of the substrate at the transition region. The saturated gas chemistry is configured to maintain moisture in the transition region so as to prevent drying of the surface of the substrate in the transition region, before the second fluid meniscus is applied to the surface of the substrate.
    Type: Application
    Filed: August 10, 2011
    Publication date: December 1, 2011
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Seokmin Yun, Mark Wilcosson
  • Patent number: 8058174
    Abstract: A semiconductor processing component has an outer surface portion comprised of silicon carbide, the outer surface portion having a skin impurity level and a bulk impurity level. The skin impurity level is average impurity level from 0 nm to 100 nm of depth into the outer surface portion, the bulk impurity level is measured at a depth of at least 3 microns into the outer surface portion, and the skin impurity level is not greater than 80% of the bulk impurity level.
    Type: Grant
    Filed: December 15, 2008
    Date of Patent: November 15, 2011
    Assignee: CoorsTek, Inc.
    Inventors: Yeshwanth Narendar, Richard F. Buckley
  • Publication number: 20110275164
    Abstract: A removal composition and process for removing low-k dielectric material, etch stop material, and/or metal stack material from a rejected microelectronic device structure having same thereon. The removal composition includes hydrofluoric acid. The composition achieves at least partial removal of the material(s) from the surface of the microelectronic device structure having same thereon, for recycling and/or reuse of said structure, without damage to the underlying polysilicon or bare silicon layer employed in the semiconductor architecture.
    Type: Application
    Filed: May 9, 2011
    Publication date: November 10, 2011
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Pamela M. Visintin, Ping Jiang, Michael B. Korzenski, Mackenzie King
  • Publication number: 20110230051
    Abstract: A post-CMP cleaning process of a copper layer is to be performed as follows. An alkaline aqueous solution, a polycarboxylic acid, BTA, and an alkaline aqueous solution are sequentially brought into contact with a primary surface of a silicon substrate over which the copper layer is provided.
    Type: Application
    Filed: June 2, 2011
    Publication date: September 22, 2011
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventors: Toshiyuki TAKEWAKI, Manabu IGUCHI, Daisuke OSHIDA, Hironori TOYOSHIMA, Masayuki HIROI, Takuji ONUMA, Hiroaki NANBA, Ichiro HONMA, Mieko HASEGAWA, Yasuaki TSUCHIYA, Toshiji TAIJI, Takaharu KUNUGI
  • Patent number: 7994066
    Abstract: A method is disclosed for the cleaning of a Si surface at low temperatures. Oxide on the Si surface is brought into contact with Ge, which then sublimates off the surface. The Ge contamination remaining after the oxide removal is cleared away by an exposure to an alkali halide. The disclosed cleaning method may by used in semiconductor circuit fabrication for preparing surfaces ahead of epitaxial growth.
    Type: Grant
    Filed: October 13, 2007
    Date of Patent: August 9, 2011
    Assignee: Luxtera, Inc.
    Inventors: Giovanni Capellini, Gianlorenzo Masini, Lawrence C. Gunn, III, Jeremy Witzens, Joseph W. White