Using Mask (epo) Patents (Class 257/E21.231)
  • Patent number: 8222148
    Abstract: A semiconductor device includes a first well formed in a predetermined region of a semiconductor substrate, a second well formed in a predetermined region in the first well, and a third well formed in the first well with the third well being spaced apart from the second well at a predetermined distance. A multiple well of the semiconductor substrate, the first well, the second well, the first well, and the third well, which are sequentially disposed, is formed. Accordingly, a breakdown voltage can be increased and a leakage current can be reduced. It is therefore possible to prevent the drop of an erase voltage and to reduce the error of an erase operation.
    Type: Grant
    Filed: August 24, 2009
    Date of Patent: July 17, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Wan Cheul Shin
  • Publication number: 20120175745
    Abstract: A method for fabricating a fine pattern of a semiconductor device is provided. The method includes forming a base layer, a first mask pattern having identical features of a first width with inclined sidewalls and a second mask pattern having identical features of a second width in sequence on a substrate, wherein a smallest distance between any two adjacent inclined sidewalls is equal to the second width. The base layer is etched by using the first mask pattern as an etch mask to form first openings of the second width and a fill layer is formed covering the substrate. The second mask pattern is removed to form second openings in the fill layer and then the first mask pattern and the base layer are etched through the second openings to form third openings. The fill layer and the first mask pattern are removed to form a pattern of the base layer having identical features of a third width, wherein the third width of the features of the base layer pattern is equal to the second width.
    Type: Application
    Filed: January 6, 2011
    Publication date: July 12, 2012
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Pin Yuan Su, Weitung Yang, Yu-Chung Fang
  • Publication number: 20120171861
    Abstract: A method of fabricating a three-dimensional semiconductor device includes forming a stacked structure, and the stacked structure includes a first layer, a second layer, a third layer, and a fourth layer sequentially stacked on a substrate. The method also includes forming a sacrificial spacer on a sidewall of the stacked structure such that the sacrificial spacer exposes a sidewall of the third layer, and recessing the exposed sidewall of the third layer thereby forming a recess region between the second and fourth layers.
    Type: Application
    Filed: January 3, 2012
    Publication date: July 5, 2012
    Inventors: Sang-Yong PARK, Eunsun Youm
  • Patent number: 8211803
    Abstract: Methods are disclosed, such as those involving increasing the density of isolated features in an integrated circuit. Also disclosed are structures associated with the methods. In one or more embodiments, contacts are formed on pitch with other structures, such as conductive interconnects. The interconnects may be formed by pitch multiplication. To form the contacts, in some embodiments, a pattern corresponding to some of the contacts is formed in a selectively definable material such as photoresist. The features in the selectively definable material are trimmed to desired dimensions. Spacer material is blanket deposited over the features in the selectively definable material and the deposited material is then etched to leave spacers on sides of the features. The selectively definable material is removed to leave a mask defined by the spacer material. The pattern defined by the spacer material may be transferred to a substrate, to form on pitch contacts.
    Type: Grant
    Filed: May 17, 2010
    Date of Patent: July 3, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Sandhu, Mark Kiehlbauch, Steve Kramer, John Smythe
  • Publication number: 20120156881
    Abstract: A method includes depositing a material layer over a semiconductor substrate and using a first mask in a first exposure/patterning process to pattern the material layer thereby forming a plurality of first and second features. The first features include patterns for the semiconductor device and the second features include printing assist features. The method includes using a second mask in a second exposure/patterning process to effectively remove the second features from the material layer and to define at least one separating structure between two first features.
    Type: Application
    Filed: December 20, 2010
    Publication date: June 21, 2012
    Applicant: INFINEON TECHNOLOGIES NORTH AMERICA CORP.
    Inventor: Henning Haffner
  • Publication number: 20120156882
    Abstract: A method for fabricating a large-area nanoscale pattern includes: forming multilayer main thin films isolated by passivation layers; patterning a first main thin film to form a first main pattern; forming a first spacer pattern with respect to the first main pattern; and forming a second main pattern by transferring the first spacer pattern onto a second main thin film. By using multilayer main thin films isolated by different passivation films, spacer lithography capable of reducing a pattern pitch can be repetitively performed, and the pattern pitch is repetitively reduced without shape distortion after formation of micrometer-scale patterns, thereby forming nanometer-scale fine patterns uniformly over a wide area.
    Type: Application
    Filed: September 23, 2011
    Publication date: June 21, 2012
    Applicant: LG Innotek Co., Ltd.
    Inventors: YOUNG-JAE LEE, KYOUNG JONG YOO, JIN SU KIM, JUN LEE, YONG IN LEE, JUNBO YOON, JEONGHO YEON, JOO-HYUNG LEE, JEONG OEN LEE
  • Publication number: 20120135601
    Abstract: A method of manufacturing a semiconductor device including a plurality of hole patterns is disclosed. The method includes: forming a plurality of first line patterns and a plurality of first space patterns extending in a first direction; forming a plurality of second line patterns and a plurality of second space patterns extending in a second direction, on the plurality of first line patterns and the plurality of first space patterns; forming a plurality of first hole patterns where the plurality of first space patterns and the plurality of second space patterns cross each other; and forming a plurality of second hole patterns where the plurality of first line patterns and the plurality of second line patterns cross each other.
    Type: Application
    Filed: October 12, 2011
    Publication date: May 31, 2012
    Inventors: Jong-chul Park, Sang-sup Jeong, Bok-yeon Won
  • Publication number: 20120129352
    Abstract: A pattern-forming method includes forming a silicon-containing film on a substrate, the silicon-containing film having a mass ratio of silicon atoms to carbon atoms of 2 to 12. A shape transfer target layer is formed on the silicon-containing film. A fine pattern is transferred to the shape transfer target layer using a stamper that has a fine pattern to form a resist pattern. The silicon-containing film and the substrate are dry-etched using the resist pattern as a mask to form a pattern on the substrate in nanoimprint lithography. According to another aspect of the invention, a silicon-containing film includes silicon atoms and carbon atoms. A mass ratio of silicon atoms to carbon atoms is 2 to 12. The silicon-containing film is used for a pattern-forming method employed in nanoimprint lithography.
    Type: Application
    Filed: July 28, 2011
    Publication date: May 24, 2012
    Applicant: JSR Corporation
    Inventors: Takashi MORI, Masato TANAKA, Yukio NISHIMURA, Yoshikazu YAMAGUCHI
  • Publication number: 20120129349
    Abstract: A method of forming patterns for a semiconductor device. The method includes: forming a first hard mask layer on a layer which is to be etched; forming a second hard mask layer on the first hard mask layer, wherein the second hard mask layer includes a first portion and a second portion formed underneath the first portion, wherein the first portion and second portion are composed of the same material; etching the first portion to form first patterns; forming spacers covering sidewalls of the first patterns; etching the second portion using the spacers as etch masks to form second patterns; etching the first hard mask layer and the spacers using the second patterns disposed underneath the spacers as etch masks to form third patterns; and etching the layer to be etched, using the third patterns.
    Type: Application
    Filed: September 21, 2011
    Publication date: May 24, 2012
    Inventors: Yun-seung Kang, Jong-chul Park, Kwang-yong Yang, Sang-sup Jeong, Seok-hyun Lim
  • Publication number: 20120129348
    Abstract: A laser processing method of converging laser light into an object to be processed made of silicon so as to form a modified region and etching the object along the modified region so as to form the object with a through hole comprises a laser light converging step of converging the laser light at the object so as to form the modified region along a part corresponding to the through hole in the object; an etch resist film producing step of producing an etch resist film resistant to etching on an outer surface of the object after the laser light converging step; and an etching step of etching the object so as to advance the etching selectively along the modified region and form the through hole after the etch resist film producing step; while the laser light converging step exposes the modified region to the outer surface of the object.
    Type: Application
    Filed: July 19, 2011
    Publication date: May 24, 2012
    Applicant: HAMAMATSU PHOTONICS K.K.
    Inventors: Hideki Shimoi, Keisuke Araki
  • Patent number: 8178400
    Abstract: A semiconductor fabrication method includes depositing a dummy gate layer onto a substrate, patterning the dummy gate layer, depositing a hardmask layer over the dummy gate layer, patterning the hardmask layer, etching a recess into the substrate, adjacent the dummy gate layer, depositing a semiconductor material into the recess, removing the hardmask layer, depositing replacement spacers onto the dummy gate layer, performing an oxide deposition over the dummy gate layer and replacement spacers, removing the dummy gate and replacement spacers, thereby forming a gate recess in the oxide and depositing a gate stack into the recess.
    Type: Grant
    Filed: September 28, 2009
    Date of Patent: May 15, 2012
    Assignee: International Business Machines Corporation
    Inventors: Josephine B. Chang, Michael A. Guillorn, Isaac Lauer, Amlan Majumdar
  • Publication number: 20120108046
    Abstract: The present disclosure provides a method of fabricating a semiconductor device. The method includes forming a patternable layer over a substrate. The method includes forming a first layer over the patternable layer. The method includes forming a second layer over the first layer. The second layer is substantially thinner than the first layer. The method includes patterning the second layer with a photoresist material through a first etching process to form a patterned second layer. The method includes patterning the first layer with the patterned second layer through a second etching process to form a patterned first layer. The first and second layers have substantially different etching rates during the second etching process. The method includes patterning the patternable layer with the patterned first layer through a third etching process.
    Type: Application
    Filed: October 26, 2011
    Publication date: May 3, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yu Chao Lin, Ming-Ching Chang, Yih-Ann Lin, Ryan Chia-Jen Chen, Chao-Cheng Chen
  • Publication number: 20120100720
    Abstract: A method of etching a silicon layer through a patterned mask is provided. The method uses an etch chamber in which the silicon layer is placed. The method includes (a) providing the silicon layer having the patterned mask formed thereon, (b) providing an etch gas comprising a fluorine containing gas and an oxygen and hydrogen containing gas into the etch chamber in which the silicon layer has been placed, (c) generating a plasma from the etch gas, (d) etching features into the silicon layer through the patterned mask using the plasma, and (e) stopping the etch gas. The oxygen and hydrogen containing gas contains water vapor.
    Type: Application
    Filed: December 28, 2011
    Publication date: April 26, 2012
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Jaroslaw W. WINNICZEK, Robert P. CHEBI
  • Publication number: 20120094494
    Abstract: A method to further adjust the final CD of a material to be etched during an etching process, and after a photolithographic patterning process can include patterning a semiconductor substrate using a mask layer. The mask layer can comprise a hardmask material having a protruding feature with an initial width. A first plasma comprising carbon and fluorine can be introduced into a chamber, where residual carbon and fluorine is deposited on at least the chamber wall. A portion of the mask layer can then be removed with a second plasma incorporating the residual carbon and fluorine, whereby remaining hardmask material forms a feature pattern where the protruding feature has a final width different from the initial width. The feature pattern can then be transferred to the semiconductor substrate using the final width of the at least one protruding feature provided by the remaining hardmask material.
    Type: Application
    Filed: October 14, 2010
    Publication date: April 19, 2012
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Yu-Chung Chen, Shih-Ping Hong, Ming-Tsung Wu
  • Publication number: 20120094495
    Abstract: A substrate processing method that forms an opening, which has a size that fills the need for downsizing a semiconductor device and is to be transferred to an amorphous carbon film, in a photoresist film of a substrate to be processed. Deposit is accumulated on a side wall surface of the opening in the photoresist film using plasma produced from a deposition gas having a gas attachment coefficient S of 0.1 to 1.0 so as to reduce the opening width of the opening.
    Type: Application
    Filed: December 20, 2011
    Publication date: April 19, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanobu HONDA, Hironobu Ichikawa
  • Patent number: 8159039
    Abstract: A superjunction semiconductor device is provided having at least one column of a first conductivity type and at least one column of a second conductivity type extending from a first main surface of a semiconductor substrate toward a second main surface of the semiconductor substrate opposed to the first main surface. The at least one column of the second conductivity type has a first sidewall surface proximate the at least one column of the first conductivity type and a second sidewall surface opposed to the first sidewall surface. A termination structure is proximate the second sidewall surface of the at least one column of the second conductivity type. The termination structure includes a layer of dielectric of an effective thickness and consumes about 0% of the surface area of the first main surface. Methods for manufacturing superjunction semiconductor devices and for preventing surface breakdown are also provided.
    Type: Grant
    Filed: January 12, 2009
    Date of Patent: April 17, 2012
    Assignee: Icemos Technology Ltd.
    Inventor: Xu Cheng
  • Publication number: 20120088317
    Abstract: A processing method of a silicon substrate, including forming on a back surface of a silicon substrate an etching mask layer having an opening portion, measuring a thickness of the silicon substrate, irradiating the opening portion in the etching mask layer with laser from the back surface of the silicon substrate to form in the silicon substrate a modified layer with a thickness that is varied according to the measured thickness of the silicon substrate, carrying out anisotropic etching with regard to the silicon substrate having the modified layer formed therein to form in the back surface a depressed portion which does not pass through the silicon substrate and which has a bottom surface in the silicon substrate, and carrying out dry etching in the depressed portion to form a through-hole passing from the bottom surface of the depressed portion to a front surface of the silicon substrate.
    Type: Application
    Filed: September 6, 2011
    Publication date: April 12, 2012
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Keisuke Kishimoto, Shuji Koyama, Hiroyuki Abo, Taichi Yonemoto
  • Publication number: 20120088357
    Abstract: A method of manufacturing a semiconductor device is disclosed. The method forms a semiconductor device including a workpiece structure having a first region and second region located adjacent to the first region formed therein. The first region includes a first pattern and the second region includes a second pattern having at least a greater pattern width or a smaller aspect ratio than the first pattern. The method includes forming the first pattern by providing a first film having a first contact angle at a top portion thereof and the second pattern by providing a second film having a second contact angle less than the first contact angle at a top portion thereof; cleaning the first and the second regions by a chemical liquid; rinsing the cleaned first and the second regions by a rinse liquid; and drying the rinsed first and the second regions.
    Type: Application
    Filed: September 21, 2011
    Publication date: April 12, 2012
    Inventors: Yoshihiro OGAWA, Tatsuhiko Koide, Shinsuke Kimura
  • Patent number: 8153522
    Abstract: A method of forming a mask for use in fabricating an integrated circuit includes forming first non-removable portions of a photoresist material through a mask having a plurality of apertures, shifting the mask, forming second non-removable second portions of the photoresist material overlapping the first portions, and removing removable portions of the photoresist material arranged between the first and second portions. The formed photoresist mask may be used to form vias in an integrated circuit. The pattern of vias produced have the capability to exceed the current imaging resolution of a single exposure treatment.
    Type: Grant
    Filed: March 2, 2010
    Date of Patent: April 10, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Anton Devilliers, Michael Hyatt
  • Patent number: 8138097
    Abstract: Methods for fabricating a device and related device structures are provided herein. According to one embodiment, a method for fabricating a device includes the acts of producing a substrate; forming a structure on the substrate having a lower dielectric layer, a metal layer, an upper dielectric layer, a planarizing layer, and a layer of photoresist material; developing the photoresist material according to a mask pattern; etching the planarizing layer and the upper dielectric layer according to the mask pattern; removing the photoresist material and the planarizing layer upon etching of the planarizing layer and the upper dielectric layer; applying a selective metal growth or metal/organic film to respective exposed portions of the metal layer following etching of the upper dielectric layer, thereby obtaining an inverted mask pattern; and etching at least the metal layer and the lower dielectric layer according to the inverted mask pattern.
    Type: Grant
    Filed: September 20, 2010
    Date of Patent: March 20, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsunobu Isobayashi, Masao Ishikawa
  • Publication number: 20120064724
    Abstract: Methods of forming a pattern of a semiconductor device including performing a double patterning process without using an atomic layer deposition (ALD) oxide film are provided. The methods may include forming a mask pattern on a substrate; forming a chemical attach process (CAP) material layer covering at least a portion of the mask pattern; forming a CAP adhesive layer by adhering at least a portion of the CAP material layer to the mask pattern by using a first baking process and a first development process; forming an interlayer covering at least a portion of the mask pattern and the CAP adhesive layer; and removing the mask pattern and the interlayer while allowing the CAP adhesive layer to remain by using a second baking process and a second development process.
    Type: Application
    Filed: August 31, 2011
    Publication date: March 15, 2012
    Inventors: Bo-hee Lee, Kyoung-mi Kim, Jeong-ju Park, Mi-ra Park, Jae-ho Kim, Young-ho Kim
  • Publication number: 20120061829
    Abstract: A manufacturing method of a substrate for a semiconductor element, wherein a first step includes: forming a first and second photosensitive resin layer on a first and second surface of a metal plate, respectively; forming a first and second resist pattern on the first and second surface, for forming a connection post and a wiring pattern, respectively. A second step includes: forming the connection post and wiring pattern; filling in a premold liquid resin to the first surface which was etched; forming a premold resin layer by hardening the premold liquid resin; performing a grinding operation on the first surface, and exposing an upper bottom surface of the connection post from the premold resin layer. A groove structure is formed by the first and second steps, wherein a depth of the groove is up to an intermediate part in a thickness direction of the metal plate.
    Type: Application
    Filed: September 30, 2011
    Publication date: March 15, 2012
    Applicant: TOPPAN PRINTING CO., LTD.
    Inventors: Susumu MANIWA, Takehito Tsukamoto, Junko Toda
  • Publication number: 20120049280
    Abstract: An SOI wafer contains a compressively stressed buried insulator structure. In one example, the stressed buried insulator (BOX) may be formed on a host wafer by forming silicon oxide, silicon nitride and silicon oxide layers so that the silicon nitride layer is compressively stressed. Wafer bonding provides the surface silicon layer over the stressed insulator layer. Preferred implementations of the invention form MOS transistors by etching isolation trenches into a preferred SOI substrate having a stressed BOX structure to define transistor active areas on the surface of the SOI substrate. Most preferably the trenches are formed deep enough to penetrate through the stressed BOX structure and some distance into the underlying silicon portion of the substrate. The overlying silicon active regions will have tensile stress induced due to elastic edge relaxation.
    Type: Application
    Filed: August 27, 2010
    Publication date: March 1, 2012
    Inventors: Paul A. Clifton, R. Stockton Gaines
  • Publication number: 20120040528
    Abstract: A lower layer of a microelectronic device may be patterned by forming a first sacrificial layer on the lower layer; patterning a plurality of spaced apart trenches in the first sacrificial layer; forming a second sacrificial layer in the plurality of spaced apart trenches; patterning the second sacrificial layer in the plurality of spaced apart trenches to define upper openings in the plurality of spaced apart trenches; and patterning the lower layer using the first and second sacrificial layers as a mask to form lower openings in the lower layer.
    Type: Application
    Filed: April 14, 2011
    Publication date: February 16, 2012
    Inventors: Nam-Gun Kim, Yoonjae Kim, Sungil Cho
  • Publication number: 20120032308
    Abstract: Disclosed are methods and devices for targeting CD of selected transistors in a semiconductor device. Varying CD is done by forming hard mask lines in a hard mask layer that have varying amounts of spacer material associated therewith. Hard mask lines corresponding to selected transistors are either left covered or uncovered by a resist applied over the hard mask layer. Then, spacer material is selectively removed from the hard mask lines to vary the width of hard mask lines and associated side wall spacers. A gate layer is then etched through the spaces in the hard mask lines to form gate lines having varying widths and targeted CD.
    Type: Application
    Filed: October 21, 2011
    Publication date: February 9, 2012
    Applicant: SPANSION LLC
    Inventors: Bradley M. Davis, Jihwan Choi, Angela T. Hui
  • Publication number: 20120028471
    Abstract: A method of manufacturing a semiconductor device includes: forming a thin film on a substrate; forming a resist mask which forms a photoresist mask having an elliptical hole pattern on the thin film; shrinking a hole size of the second pattern by forming an insulating film on a side wall of the second pattern of the photoresist layer; and etching the thin film using the insulating film and the photoresist layer which form the second pattern having the shrinked hole size as a mask.
    Type: Application
    Filed: February 18, 2011
    Publication date: February 2, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kenichi Oyama, Kazuo Yabe, Hidetami Yaegashi
  • Patent number: 8101507
    Abstract: There is provided a semiconductor device manufacturing apparatus and a semiconductor device manufacturing method capable of recovering a damage of a low dielectric insulating film exposed to CO2 plasma to obtain the low dielectric insulating film in a good state, thus improving performance and reliability of a semiconductor device. The semiconductor device manufacturing method includes: an etching process for etching a low dielectric insulating film formed on a substrate; a CO2 plasma process for exposing the substrate to CO2 plasma after the etching process; and a UV process for irradiating UV to the low dielectric insulating film after the CO2 plasma process.
    Type: Grant
    Filed: October 16, 2009
    Date of Patent: January 24, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Ryuichi Asako, Gousuke Shiraishi, Shigeru Tahara
  • Publication number: 20120015520
    Abstract: Methods for reducing line roughness of spacers and other features utilizing a non-plasma and non-wet etch fluoride processing technology are provided. Embodiments of the methods can be used for spacer or line reduction and/or smoothing the surfaces along the edges of such features through the reaction and subsequent removal of material.
    Type: Application
    Filed: September 27, 2011
    Publication date: January 19, 2012
    Inventors: Joseph Neil Greeley, Paul Morgan, Mark Kiehlbauch
  • Publication number: 20120015521
    Abstract: Embodiments described herein relate to materials and processes for patterning and etching features in a semiconductor substrate. In one embodiment, a method of forming a composite amorphous carbon layer for improved stack defectivity on a substrate is provided. The method comprises positioning a substrate in a process chamber, introducing a hydrocarbon source gas into the process chamber, introducing a diluent source gas into the process chamber, introducing a plasma-initiating gas into the process chamber, generating a plasma in the process chamber, forming an amorphous carbon initiation layer on the substrate, wherein the hydrocarbon source gas has a volumetric flow rate to diluent source gas flow rate ratio of 1:12 or less; and forming a bulk amorphous carbon layer on the amorphous carbon initiation layer, wherein a hydrocarbon source gas used to form the bulk amorphous carbon layer has a volumetric flow rate to a diluent source gas flow rate of 1:6 or greater to form the composite amorphous carbon layer.
    Type: Application
    Filed: April 25, 2011
    Publication date: January 19, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Hang Yu, Deenesh Padhi, Man-Ping Cai, Naomi Yoshida, Li Yan Miao, Siu F. Cheng, Shahid Shaikh, Sohyun Park, Heung Lak Park, Bok Hoen Kim
  • Publication number: 20120009792
    Abstract: A semiconductor wet etchant includes deionized water, a fluorine-based compound, an oxidizer and an inorganic salt. A concentration of the fluorine-based compound is 0.25 to 10.0 wt % based on a total weight of the etchant, a concentration of the oxidizer is 0.45 to 3.6 wt % based on a total weight of the etchant, and a concentration of the inorganic salt is 1.0 to 5.0 wt % based on a total weight of the etchant. The inorganic salt comprises at least one of an ammonium ion (NH4+) and a chlorine ion (Cl?).
    Type: Application
    Filed: September 22, 2011
    Publication date: January 12, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jung-Dae Park, Young You, Tae-Hyo Choi, Hun-Jung Yi, Kun-Hyung Lee
  • Patent number: 8084832
    Abstract: Embodiments relate to a semiconductor device and a method of manufacturing a semiconductor. In embodiments, the method may include a first exposure step of performing an exposure process for forming a first photoresist on a semiconductor substrate at one side of the outside of a trench pattern which will be formed, a first etching step of performing a predetermined dry etching method with respect to the first photoresist, a second exposure step of performing an exposure process for forming a second photoresist at the other side of the outside of the trench pattern, which is a side opposite to the first photoresist, and a second etching step of performing the predetermined dry etching method with respect to the second photoresist.
    Type: Grant
    Filed: September 15, 2009
    Date of Patent: December 27, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Young-Je Yun
  • Publication number: 20110300712
    Abstract: Methods of forming a photoresist pattern include forming a first photoresist pattern on a substrate and treating the first photoresist pattern with plasma that modifies etching characteristics of the first photoresist pattern. This modification may include making the first photoresist pattern more susceptible to removal during subsequent processing. The plasma-treated first photoresist pattern is covered with a second photoresist layer, which is patterned into a second photoresist pattern that contacts sidewalls of the plasma-treated first photoresist pattern. The plasma-treated first photoresist pattern is selectively removed from the substrate to reveal the remaining second photoresist pattern. The second photoresist pattern is used as an etching mask during the selective etching of a portion of the substrate (e.g., target layer).
    Type: Application
    Filed: May 9, 2011
    Publication date: December 8, 2011
    Inventors: Kyoung-Mi Kim, Jeong-Ju Park, Mi-Ra Park, Bo-Hee Lee, Jae-Ho Kim, Young-Ho Kim
  • Publication number: 20110287630
    Abstract: A method of processing a semiconductor substrate in forming scribe line alignment marks includes forming pitch multiplied non-circuitry features within scribe line area of a semiconductor substrate. Individual of the features, in cross-section, have a maximum width which is less than a minimum photolithographic feature dimension used in lithographically patterning the substrate. Photoresist is deposited over the features. Such is patterned to form photoresist blocks that are individually received between a respective pair of the features in the cross-section. Individual of the features of the respective pairs have a laterally innermost sidewall in the cross-section. Individual of the photoresist blocks have an opposing pair of first pattern edges in the cross-section that are spaced laterally inward of the laterally innermost sidewalls of the respective pair of the features.
    Type: Application
    Filed: August 2, 2011
    Publication date: November 24, 2011
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: William R. Brown, David Kewley, Adam Olson
  • Patent number: 8048810
    Abstract: A method for fabricating a integrated circuit is disclosed. An exemplary method includes providing a substrate; forming a hard mask layer over the substrate; forming a patterned photoresist layer over the hard mask layer, such that portions of the hard mask layer are exposed; performing a dry etching process to remove the exposed portions of the hard mask layer; removing the patterned photoresist layer using at least one of a nitrogen plasma ashing and a hydrogen plasma ashing; and performing a wet etching process to remove remaining portions of the hard mask layer.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: November 1, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Fang Wen Tsai, Jim Cy Huang, Shun Wu Lin, Li-Shiun Chen, Kuang-Yuan Hsu
  • Patent number: 8048812
    Abstract: Differently-sized features of an integrated circuit are formed by etching a substrate using a mask which is formed by combining two separately formed patterns. Pitch multiplication is used to form the relatively small features of the first pattern. Pitch multiplication is accomplished by patterning an amorphous carbon layer. Sidewall spacers are then formed on the amorphous carbon sidewalls which are then removed; the sidewall spacers defining the first mask pattern. A bottom anti-reflective coating (BARC) is then deposited to form a planar surface and a photoresist layer is formed over the BARC. The photoresist is next patterned by conventional photolithography to form the second pattern, which is transferred to the BARC. The combined pattern is transferred to an underlying amorphous silicon layer. The combined pattern is then transferred to the silicon oxide layer and then to an amorphous carbon mask layer. The combined mask pattern, is then etched into the underlying substrate.
    Type: Grant
    Filed: April 28, 2010
    Date of Patent: November 1, 2011
    Assignee: Round Rock Research, LLC
    Inventors: Luan Tran, William T. Rericha, John Lee, Ramakanth Alapati, Sheron Honarkhah, Shuang Meng, Puneet Sharma, Jingyi Bai, Zhiping Yin, Paul Morgan, Mirzafer K. Abatchev, Gurtej S. Sandhu, D. Mark Durcan
  • Patent number: 8048787
    Abstract: Provided are a semiconductor device and a method of forming the same. The method may include forming a gate dielectric layer including a plurality of elements on a substrate; supplying a specific element to the gate dielectric layer; forming a product though reacting the specific element with at least one of the plurality of elements; and removing the product.
    Type: Grant
    Filed: September 14, 2009
    Date of Patent: November 1, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sangjin Hyun, Yugyun Shin, Hagju Cho, Hyung-seok Hong
  • Publication number: 20110261850
    Abstract: A disclosed surface emitting laser device includes a light emitting section having a mesa structure where a lower reflection mirror, an oscillation structure, and an upper reflection mirror are laminated on a substrate, the oscillation structure including an active layer, the upper reflection mirror including a current confined structure where an oxide surrounds a current passage region, a first dielectric film that coats the entire surface of an emitting region of the light emitting section, the transparent dielectric including a part where the refractive index is relatively high and a part where the refractive index is relatively low, and a second dielectric film that coats a peripheral part on the upper surface of the mesa structure. Further, the dielectric film includes a lower dielectric film and an upper dielectric film, and the lower dielectric film is coated with the upper dielectric film.
    Type: Application
    Filed: April 12, 2011
    Publication date: October 27, 2011
    Applicant: RICOH COMPANY, LTD.,
    Inventors: Hiroyoshi SHOUJI, Shunichi Sato
  • Publication number: 20110263125
    Abstract: A method of forming a mark in an IC fabricating process is described. Two parts of the mark each including a plurality of linear patterns are respectively defined by two exposure steps that either belong to two lithography processes respectively or constitute a double-exposure process including X-dipole and Y-dipole exposure steps.
    Type: Application
    Filed: July 5, 2011
    Publication date: October 27, 2011
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventor: Chin-Cheng Yang
  • Publication number: 20110256685
    Abstract: A film structure including at least one film is formed on a face of a semiconductor substrate and then a first mask with a pattern is formed on the film structure. A second mask is formed so as to cover the first mask over a bevel region. The film structure is etched using the first and second masks and thereafter the remaining first and second masks are removed away.
    Type: Application
    Filed: April 13, 2011
    Publication date: October 20, 2011
    Applicant: ELPIDA MEMORY, INC
    Inventors: Takahiro SUZUKI, Kouta NOSAKA, Katuyuki OKAMASU, Akira MURAKAMI, Katuhiko KAWASUMI
  • Patent number: 8039937
    Abstract: Provided are methods of fabricating semiconductor chips, semiconductor chips formed by the methods, and chip-stack packages having the semiconductor chips. One embodiment specifies a method that includes patterning a scribe line region of a semiconductor substrate to form a semiconductor strut spaced apart from edges of a chip region of the semiconductor substrate.
    Type: Grant
    Filed: April 29, 2009
    Date of Patent: October 18, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyun-Soo Chung, Seung-Kwan Ryu, Ju-Il Choi, Dong-Ho Lee, Seong-Deok Hwang
  • Patent number: 8039396
    Abstract: Provided is a method for manufacturing a photovoltaic device which is capable of easily forming a texture having an aspect ratio larger than 0.5. The method for manufacturing a photovoltaic device include the steps of: forming an etching-resistant film on a silicon substrate; forming a plurality of fine holes in the etching-resistant film with an irradiated laser beam which has a focal depth adjusted to 10 ?m or more to expose a surface of the silicon substrate which is a base layer; and etching the exposed surface of the silicon substrate, in which the step of exposing the surface of the silicon substrate includes forming a fine recess at a concentric position to each of the fine holes in the surface of the silicon substrate which lies under the etching-resistant film.
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: October 18, 2011
    Assignee: Mitsubishi Electric Corporation
    Inventors: Kunihiko Nishimura, Shigeru Matsuno
  • Publication number: 20110248374
    Abstract: This disclosure discusses various methods for manufacturing uncooled infrared detectors by using foundry-defined silicon-on-insulator (SOI) complementary metal oxide semiconductor (CMOS) wafers, each of which may include a substrate layer, an insulation layer having a pixel region and a wall region surrounding the pixel region, a pixel structure formed on the pixel region of the insulation layer, a wall structure formed adjacent to the pixel structure and on the wall region of the insulation layer, a dielectric layer covering the pixel structure and the wall structure, a pixel mask formed within the dielectric layer and for protecting the pixel structure during a dry etching process, and a wall mask formed within the dielectric layer and for protecting the wall structure during the dry etching process, thereby releasing a space defined between the wall structure and the pixel structure after the dry etching process.
    Type: Application
    Filed: April 12, 2011
    Publication date: October 13, 2011
    Inventors: Tayfun Akin, Selim Eminoglu
  • Patent number: 8021944
    Abstract: A method for fabricating a semiconductor device is disclosed. The method includes: forming a photoresist film on a semiconductor substrate including a silicide forming region and non-silicide forming region; forming a photoresist pattern as a non-salicide pattern by patterning the photoresist film, so as to cover the non-silicide forming region and open the silicide forming region, with an overhang structure that a bottom is removed more compared to a top; forming a metal film on a top of the photoresist pattern and overall the semiconductor substrate in the silicide forming region; stripping the photoresist pattern and the metal film on the photoresist pattern; and forming a silicide metal film by annealing the metal film remaining on the semiconductor substrate. Therefore, the present invention simplifies a salicide process of a semiconductor device, making it possible to improve yields.
    Type: Grant
    Filed: November 29, 2008
    Date of Patent: September 20, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: In-Cheol Baek
  • Patent number: 8008188
    Abstract: A method is provided comprising: coating an electrically conductive core with a first removable material, creating openings in the first removable material to expose portions of the electrically conductive core, plating a conductive material onto the exposed portions of the electrically conductive core, coating the conductive material with a second removable material, removing the first removable material, electrophoretically coating the electrically conductive core with a dielectric coating, and removing the second removable material.
    Type: Grant
    Filed: June 11, 2007
    Date of Patent: August 30, 2011
    Assignee: PPG Industries Ohio, Inc.
    Inventors: Kevin C. Olson, Alan E. Wang
  • Patent number: 7994061
    Abstract: A method for forming a vertical channel transistor in a semiconductor memory device includes: forming a plurality of pillars over a substrate so that the plurality of pillars are arranged in a first direction and a second direction crossing the first direction, and so that each of the pillars has a hard mask pattern thereon; forming an insulation layer to fill a regions between the pillars; forming a mask pattern over a resultant structure including the insulation layer, wherein the mask pattern has openings exposing gaps between each two adjacent pillars in the first direction; etching the insulation layer to a predetermined depth using the mask pattern as an etching barrier to form trenches; and filling the trenches with a conductive material to form word lines extending in the first direction.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: August 9, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jin-Ki Jung
  • Patent number: 7988470
    Abstract: The present invention generally relates to thin film transistors (TFTs) and methods of making TFTs. The active channel of the TFT may comprise one or more metals selected from the group consisting of zinc, gallium, tin, indium, and cadmium. The active channel may also comprise nitrogen and oxygen. To protect the active channel during source-drain electrode patterning, an etch stop layer may be deposited over the active layer. The etch stop layer prevents the active channel from being exposed to the plasma used to define the source and drain electrodes. The etch stop layer and the source and drain electrodes may be used as a mask when wet etching the active material layer that is used for the active channel.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: August 2, 2011
    Assignee: Applied Materials, Inc.
    Inventor: Yan Ye
  • Patent number: 7989354
    Abstract: Disclosed is a patterning method including: forming a first film on a substrate; forming a first resist film on the first film; processing the first resist film into a first resist pattern having a preset pitch by photolithography; forming a silicon oxide film on the first resist pattern and the first film by alternately supplying a first gas containing organic silicon and a second gas containing an activated oxygen species to the substrate; forming a second resist film on the silicon oxide film; processing the second resist film into a second resist pattern having a preset pitch by the photolithography; and processing the first film by using the first resist pattern and the second resist pattern as a mask.
    Type: Grant
    Filed: June 6, 2008
    Date of Patent: August 2, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Nakajima, Kazuhide Hasebe, Pao-Hwa Chou, Mitsuaki Iwashita, Reiji Niino
  • Publication number: 20110180712
    Abstract: A method for manufacturing a MEMS device having an undercut shape formed on a fixed part includes a first step of forming an etching layer having a first cavity on the fixed part; a second step of forming a mask layer on a side wall of the etching layer, the side wall facing the first cavity; and a third step of directing an etchant fed into the first cavity on a surface side of the mask layer to a back surface side of the mask layer, isotropically etching the etching layer, forming a second cavity communicated with the first cavity on the back surface side of the mask layer, and processing the etching layer into an undercut shape.
    Type: Application
    Filed: January 25, 2011
    Publication date: July 28, 2011
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Yasuhiko MURAKAMI
  • Patent number: 7981812
    Abstract: Methods for forming an ultra thin structure using a method that includes multiple cycles of polymer deposition of photoresist (PDP) process and etching process. The embodiments described herein may be advantageously utilized to fabricate a submicron structure on a substrate having a critical dimension less than 55 nm and beyond. In one embodiment, a method of forming a submicron structure on a substrate may include providing a substrate having a patterned photoresist layer disposed on a film stack into an etch chamber, wherein the film stack includes at least a hardmask layer disposed on a dielectric layer, performing a polymer deposition process to deposit a polymer layer on the pattered photoresist layer, thus reducing a critical dimension of an opening in the patterned photoresist layer, and etching the underlying hardmask layer through the opening having the reduced dimension.
    Type: Grant
    Filed: July 3, 2008
    Date of Patent: July 19, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Kang-Lie Chiang, Chia-Ling Kao
  • Publication number: 20110162702
    Abstract: A method of texturing a surface of a substrate utilizing a phase-segregated mask and etching is disclosed. The resulting textured surface, which can be used as a component of a solar cell includes, in one embodiment, a randomly mixed collection of flat-topped and angled surfaces providing local high points and local low points. The flat-topped surfaces have an areal density of at least 1%, and the high points are coincident with the flat-topped surfaces. Moreover, a preponderance of said low points are approximately situated in a single common plane parallel to the plane defined by the flat-topped surfaces.
    Type: Application
    Filed: January 6, 2010
    Publication date: July 7, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Roy A. Carruthers, Keith E. Fogel, Daniel A. Inns, Katherine L. Saenger