Interconnection Or Wiring Or Contact Manufacturing Related Aspects (epo) Patents (Class 257/E21.627)
  • Patent number: 8216928
    Abstract: Fabrication methods for semiconductor device structures are provided. One method for fabricating a semiconductor device structure that includes a gate structure overlying a semiconductor substrate and a doped region formed in the semiconductor substrate adjacent to the gate structure involves the steps of forming a first layer of dielectric material overlying the gate structure and the doped region, isotropically etching the first layer of dielectric material, forming a second layer of dielectric material overlying the first layer of dielectric material after isotropically etching the first layer, and forming a conductive contact that is electrically connected to the doped region within the first layer and the second layer.
    Type: Grant
    Filed: January 26, 2011
    Date of Patent: July 10, 2012
    Assignee: Globalfoundries, Inc.
    Inventors: Ralf Richter, Torsten Huisinga, Jens Heinrich
  • Patent number: 8169077
    Abstract: Dielectric interconnect structures and methods for forming the same are provided. Specifically, the present invention provides a dielectric interconnect structure having a noble metal layer (e.g., Ru, Ir, Rh, Pt, RuTa, and alloys of Ru, Ir, Rh, Pt, and RuTa) that is formed directly on a modified dielectric surface. In a typical embodiment, the modified dielectric surface is created by treating an exposed dielectric layer of the interconnect structure with a gaseous ion plasma (e.g., Ar, He, Ne, Xe, N2, H2, NH3, and N2H2). Under the present invention, the noble metal layer could be formed directly on an optional glue layer that is maintained only on vertical surfaces of any trench or via formed in the exposed dielectric layer. In addition, the noble metal layer may or may not be provided along an interface between the via and an internal metal layer.
    Type: Grant
    Filed: August 4, 2008
    Date of Patent: May 1, 2012
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Louis C. Hsu, Rajiv V. Joshi
  • Publication number: 20120069286
    Abstract: The disclosed technology relates to an array substrate and a method of manufacturing the same, and a liquid crystal display. The array substrate comprises a base substrate. The base substrate comprises a pixel region and a peripheral region; data lines and gate lines are formed to transversely and longitudinally cross each other on the base substrate to form a plurality of pixel units, and each of the pixel units comprises a switching element, a pixel electrode and a common electrode above the pixel electrode; the common electrode has slits in each pixel unit and is a plate-shaped electrode in the pixel region, when powered on, the common electrode forms a horizontal electric field together with the pixel electrode of the pixel unit; and a common electrode line formed in the pixel region and connected with the common electrode.
    Type: Application
    Filed: September 16, 2011
    Publication date: March 22, 2012
    Applicants: CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD., BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Weiyun HUANG, Young Yik KO, Minghua XUAN
  • Patent number: 8133777
    Abstract: A method of fabricating a memory is provided. A substrate including a memory region and a periphery region is provided. A plurality of gates each having spacers is formed on the substrate. A plurality of openings is formed between the gates in the memory region. A first material layer is formed in the memory region to cover the gates and fill the openings. A barrier layer is formed on the substrate to cover the gates in the periphery region and the first material layer in the memory region. A second material layer is formed on the substrate in the periphery region to cover the barrier layer in the periphery region. The barrier layer covering the first material layer is removed. The first material layer is partially removed to form a plurality of second openings. Each second opening is disposed on a top of the gate in the memory region.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: March 13, 2012
    Assignee: Winbond Electronics Corp.
    Inventors: Lu-Ping Chiang, Hsiu-Han Liao
  • Patent number: 8119512
    Abstract: A method for fabricating a semiconductor device includes forming an interlayer dielectric layer over a substrate; forming a dual storage node contact plug to be buried in the interlayer dielectric layer, forming a first damascene pattern to isolate the dual storage node contact plug, forming a protective layer pattern inside the first damascene pattern, etching the interlayer dielectric layer to form a second damascene pattern to be coupled to the first damascene pattern, and forming bit lines inside the first and second damascene patterns.
    Type: Grant
    Filed: December 29, 2010
    Date of Patent: February 21, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chang-Goo Lee
  • Publication number: 20120009713
    Abstract: A method for making a microelectronic device including, on a same substrate, at least one electro-mechanical component including a mobile structure of a monocrystalline semi-conductor material and a mechanism actuating and/or detecting the mobile structure, and with at least one transistor. The method a) provides a substrate including at least one first semi-conducting layer including at least one region in which a channel area of the transistor is provided, b) etches a second semi-conducting layer based on a given semi-conductor material, lying on an insulating layer placed on the first semi-conducting layer, to form at least one pattern of the mobile structure of the component in an area of monocrystalline semi-conductor material of the second semi-conducting layer, and at least one pattern of gate of the transistor on a gate dielectric area located facing the given region.
    Type: Application
    Filed: March 26, 2010
    Publication date: January 12, 2012
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENE ALT
    Inventors: Eric Ollier, Audrey Berthelot
  • Patent number: 8076239
    Abstract: A method of manufacturing a semiconductor device, includes the steps of forming an insulating film on a semiconductor substrate having a silicide layer, forming a hole in the insulating film on the silicide layer, cleaning an inside of the hole and a surface of the silicide layer, forming a titanium layer on a bottom surface and an inner peripheral surface of the hole by a CVD method, forming a copper diffusion preventing barrier metal layer on the titanium layer in the hole, and burying a copper layer in the hole.
    Type: Grant
    Filed: February 15, 2008
    Date of Patent: December 13, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Kazuo Kawamura, Shinichi Akiyama, Satoshi Takesako
  • Patent number: 8072070
    Abstract: A barrier layer is deposited over a layer of passivation including in an opening to a contact pad created in the layer of passivation. A column of three layers of metal is formed overlying the barrier layer and aligned with the contact pad and having a diameter that is about equal to the surface of the contact pad. The three metal layers of the column comprise, in succession when proceeding from the layer that is in contact with the barrier layer, a layer of pillar metal, a layer of under bump metal and a layer of solder metal. The layer of pillar metal is reduced in diameter, the barrier layer is selectively removed from the surface of the layer of passivation after which reflowing of the solder metal completes the solder bump of the invention.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: December 6, 2011
    Assignee: Megica Corporation
    Inventors: Jin-Yuan Lee, Mou-Shiung Lin, Ching-Cheng Huang
  • Patent number: 8053352
    Abstract: A method and mesh reference applications are provided for implementing Z-axis cross-talk reduction. A mesh reference plane including a grid of mesh traces is formed with the mesh traces having selected thickness and width dimensions effective for reference current-flow distribution. An electrically conductive coating is deposited to fill the mesh electrical holes in the mesh reference plane to reduce cross-talk, substantially without affecting mechanical flexibility.
    Type: Grant
    Filed: October 13, 2005
    Date of Patent: November 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Roger Allen Booth, Jr., Matthew Stephen Doyle
  • Publication number: 20110266596
    Abstract: In a method of the present invention during a salicide process, before a second thermal process, a dopant is implanted at a place located in a region ranging from a NixSi layer at meddle height down to a front thereof, or before formation of the NixSi layer, located in a region ranging from a silicon layer at a depth ranging from a half of a predetermined thickness of a NiSi layer down to a depth where is a predetermined front of the NiSi layer. The dopant is allowed to be heated with the NixSi layer together during the second thermal process to form a Si/NiSi2/NiSi interface which may reduce SBH and improve series resistance to obtain a semiconductor device having an excellent performance.
    Type: Application
    Filed: April 29, 2010
    Publication date: November 3, 2011
    Inventors: Yi-Wei Chen, Nien-Ting Ho, Kuo-Chih Lai, Chien-Chung Huang
  • Patent number: 8034706
    Abstract: The present disclosure includes various method of contact embodiments. One such method embodiment includes creating a trench in an insulator stack material of a particular thickness and having a portion of the trench positioned between two of a number of gates. This method includes depositing a filler material in the trench and etching the filler material to a particular depth that is less than the particular thickness of the insulator stack material. This method also includes depositing a spacer material to at least one side surface of the trench to the particular depth of the filler material and depositing a conductive material into the trench over the filler material.
    Type: Grant
    Filed: May 26, 2010
    Date of Patent: October 11, 2011
    Assignee: Micron Technology, Inc.
    Inventors: James Mathew, H. Montgomery Manning
  • Patent number: 8022542
    Abstract: A semiconductor device includes a semiconductor substrate, an interlayer insulating film, a tungsten film, a first barrier metal film, a second barrier metal film and a metal wiring film. The interlayer insulating film is formed on the semiconductor substrate, and has an opening. The tungsten film is embedded in the opening. The first barrier metal film is formed on the tungsten film and excludes a Ti film. The second barrier metal film is formed on the first barrier metal film and is a Ti-containing film. The metal wiring film is formed on the second barrier metal film.
    Type: Grant
    Filed: October 6, 2006
    Date of Patent: September 20, 2011
    Assignee: Renesas Electronics Corp
    Inventor: Kazumi Saitou
  • Patent number: 8022547
    Abstract: A non-volatile memory cell that includes a first electrode; a second electrode; and an electrical contact region that electrically connects the first electrode and the second electrode, the electrical contact region has a end portion and a continuous side portion, and together, the end portion and the continuous side portion form an open cavity, wherein the memory cell has a high resistance state and a low resistance state that can be switched by applying a voltage across the first electrode and the second electrode.
    Type: Grant
    Filed: November 18, 2008
    Date of Patent: September 20, 2011
    Assignee: Seagate Technology LLC
    Inventors: Venugopalan Vaithyanathan, Wei Tian, Insik Jin
  • Patent number: 8004085
    Abstract: A semiconductor device has an element interconnection 2, a top-layer element interconnection 4, a super-connect interconnection 10 and a bump 7. The element interconnection 2 is provided on a semiconductor substrate 1 through a plurality of insulating layers 50. The top-layer element interconnection 4 is formed above the element interconnection 2 by using a substantially equivalent process equipment. The super-connect interconnection 10 is provided on the top-layer element interconnection 4 through a super-connect insulating layer 9 having a thickness five or more times larger than that of the insulating layer 5, and has a thickness three or more times larger than that of each the element interconnection 2 and the top-layer element interconnection 4. The bump 7 is formed on the super-connect interconnection 10. The top-layer element interconnection 4 has a signal pad 4s, a power source pad 4v and a ground pad 4g.
    Type: Grant
    Filed: February 13, 2008
    Date of Patent: August 23, 2011
    Assignee: NEC Corporation
    Inventors: Shintaro Yamamichi, Katsumi Kikuchi, Jun Sakai, Hikaru Kouta
  • Patent number: 8003549
    Abstract: A nitrogen-free anti-reflective layer for use in semiconductor photolithography is fabricated in a chemical vapor deposition process, optionally plasma-enhanced, using a gaseous mixture of carbon, silicon, and oxygen sources. By varying the process parameters, a substantially hermetic layer with acceptable values of the refractive index n and extinction coefficient k can be obtained. The nitrogen-free moisture barrier anti-reflective layer produced by this technique improves plasma etch of features such as vias in subsequent processing steps.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: August 23, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Ming Li, Bart Van Schravendijk, Tom Mountsier, Chiu Chi, Kevin Ilcisin, Julian Hsieh
  • Patent number: 7999330
    Abstract: The invention includes methods of utilizing compositions containing iridium and tantalum in semiconductor constructions, and includes semiconductor constructions comprising compositions containing iridium and tantalum. The compositions containing iridium and tantalum can be utilized as barrier materials, and in some aspects can be utilized as barriers to copper diffusion.
    Type: Grant
    Filed: June 24, 2005
    Date of Patent: August 16, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Yongjun Jeff Hu
  • Patent number: 7999388
    Abstract: An apparatus includes a volume of insulator disposed over a top surface of a semiconductor substrate, a tube of soft dielectric, and a metal conductor. The insulator has a hardness of more than approximately three gigapascals (gPa) and the soft dielectric has a hardness of less than three gPa. The tube of soft dielectric and the metal conductor are both embedded within the volume of insulator. The tube defines a central volume and the metal conductor extends in a direction through the central volume for a distance of at least one inch. The metal conductor is encircled by the soft dielectric when the apparatus is viewed in a cross-sectional plane perpendicular to the direction. The metal conductor may include a plurality of bend portions. The metal conductor does not break when the apparatus is temperature cycled over a range from zero to eighty five degrees Celsius.
    Type: Grant
    Filed: October 16, 2007
    Date of Patent: August 16, 2011
    Assignee: Research Triangle Institute
    Inventor: Robert O. Conn
  • Publication number: 20110186936
    Abstract: a method for producing a semiconductor device provided in such a manner that a first layer and a second layer are laminated to ensure that their TSVs are arranged in almost a straight line, including: first layer production steps including steps of preparing a substrate, forming a transistor of an input/output circuit on an upper surface of the substrate, forming an insulation layer so as to cover the transistor, and forming a TSV in the insulation layer; second layer production steps including steps of preparing a substrate, forming a transistor of a logic circuit on an upper surface of the substrate, forming an insulation layer so as to cover the transistor, and forming a TSV in the insulation layer; a connection step of connecting surfaces of the first layer and the second layer on a side opposite to substrates of the first layer and the second layer to ensure that the TSV of the first layer and the TSV of the second layer are arranged in almost a straight line; and a step of removing the substrate of the
    Type: Application
    Filed: February 2, 2011
    Publication date: August 4, 2011
    Inventors: Toshiaki IWAMATSU, Yuichi Hirano
  • Patent number: 7989335
    Abstract: In a method of forming an insulation layer pattern, an insulation layer is formed on a substrate. An organic layer and a hard mask layer are successively formed on the insulation layer. A preliminary hard mask pattern having first openings is formed by patterning the hard mask layer. A hard mask pattern having the first openings and second openings is formed by patterning the preliminary hard mask pattern. Width control spacers are formed on sidewalls of the first and the second openings. An etching mask pattern is formed by etching the organic layer using the hard mask pattern as an etching mask. The insulation layer pattern having third openings is formed by etching the insulation layer using the etching mask pattern as an etching mask.
    Type: Grant
    Filed: March 25, 2010
    Date of Patent: August 2, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-Woo Lee, Hong-Jae Shin
  • Patent number: 7968460
    Abstract: Semiconductor devices are described that have a metal interconnect extending vertically through a portion of the device to the back side of a semiconductor substrate. A top region of the metal interconnect is located vertically below a horizontal plane containing a metal routing layer. Method of fabricating the semiconductor device can include etching a via into a semiconductor substrate, filling the via with a metal material, forming a metal routing layer subsequent to filling the via, and removing a portion of a bottom of the semiconductor substrate to expose a bottom region of the metal filled via.
    Type: Grant
    Filed: June 19, 2008
    Date of Patent: June 28, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Kyle Kirby, Kunal Parekh
  • Publication number: 20110147853
    Abstract: The present invention provides a method of integrating an electrical fuse process into a high-k/metal gate process. The method simultaneously forms a dummy gate stack of a transistor and a dummy gate stack of an e-fuse; and simultaneously removes the polysilicon of the dummy gate stack in the transistor region and the polysilicon of the dummy gate stack in the e-fuse region. Thereafter, the work function metal layer disposed in the opening of the e-fuse region is removed; and the opening in the transistor region and the opening in the e-fuse region with metal conductive structures are filled to form an e-fuse and a metal gate of a transistor.
    Type: Application
    Filed: December 18, 2009
    Publication date: June 23, 2011
    Inventors: Yung-Chang Lin, Kuei-Sheng Wu, Chang-Chien Wong
  • Patent number: 7956466
    Abstract: A design structure is provided for interconnect structures containing various capping materials for electrical fuses and other related applications. The structure includes a first interconnect structure having a first interfacial structure and a second interconnect structure adjacent to the first structure. The second interconnect structure has second interfacial structure different from the first interfacial structure.
    Type: Grant
    Filed: May 9, 2008
    Date of Patent: June 7, 2011
    Assignee: International Business Machines Corporation
    Inventors: Louis L. Hsu, William R. Tonti, Chih-Chao Yang
  • Patent number: 7936071
    Abstract: A semiconductor device comprises a semiconductor substrate that is provided with an integrated circuit; a multi-layered member that is installed in the semiconductor substrate, including a plurality of conductive members and an insulation member; and an external terminal formed on a part of the surface of the multi-layered member. A pair of the conductive members contacts with the upper surface and the lower surface of the insulation member directly under the external terminal, includes a portion where the conductive members are overlapped each other, and are electrically coupled to each other.
    Type: Grant
    Filed: November 27, 2007
    Date of Patent: May 3, 2011
    Assignee: Seiko Epson Corporation
    Inventors: Masaaki Abe, Kazuhiro Kijima
  • Patent number: 7928572
    Abstract: A composite semiconductor device includes a substrate; a plurality of circuits formed on the substrate; one or more wiring layers each including a plurality of wiring patterns connected to circuits of the plurality of circuits, a plurality of dummy patterns electrically isolated from the plurality of circuits, and an interlayer dielectric film that is spin-coated directly onto the wiring patterns and onto the dummy patterns, and that is a spin-coated layer, the dummy patterns being formed in areas where the wiring patterns are absent and lying substantially in a plane in which the wiring patterns lie; and a semiconductor thin film layer including semiconductor device elements and disposed on an upper most surface of the one or more wiring layers. The spin-coated layer may be formed of an organic material or an oxide material.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: April 19, 2011
    Assignee: Oki Data Corporation
    Inventors: Mitsuhiko Ogihara, Hiroyuki Fujiwara, Masataka Muto, Tomohiko Sagimori, Tomoki Igari
  • Patent number: 7923728
    Abstract: A TFT array panel and a manufacturing method thereof, The TFT array panel includes an insulation substrate, a plurality of gate lines, a plurality of first dummy wiring lines, a gate insulating layer, and a plurality of data lines. The insulation substrate has a display area for displaying an image and a peripheral area outside the display area. The plurality of gate lines are formed in the display area and in a portion of the peripheral area. The plurality of first dummy wiring lines are insulated from the gate lines and formed in the peripheral area. The gate insulating later is formed on the gate lines and the first dummy wiring lines, and has at least one contact hole exposing at least lateral end portions of the first dummy wiring lines.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: April 12, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-Suk Lim, Yong-Gi Park, Sun-Ja Kwon
  • Patent number: 7915163
    Abstract: The invention provides for polysilicon vias connecting conductive polysilicon layers formed at different heights. Polysilicon vias are advantageously used in a monolithic three dimensional memory array of charge storage transistors. Polysilicon vias according to the present invention can be used, for example, to connect the channel layer of a first device level of charge storage transistor memory cells to the channel layer of a second device layer of such cells formed above the first device level. Similarly, vias according to the present invention can be used to connect the wordline of a first device level of charge storage transistor memory cells to the channel layer of a second device layer of such cells.
    Type: Grant
    Filed: June 22, 2009
    Date of Patent: March 29, 2011
    Assignee: SanDisk 3D LLC
    Inventors: Michael W. Konevecki, Usha Raghuram, Maitreyee Mahajani, Sucheta Nallamothu, Andrew J. Walker, Tanmay Kumar
  • Patent number: 7915164
    Abstract: The invention provides for polysilicon vias connecting conductive polysilicon layers formed at different heights. Polysilicon vias are advantageously used in a monolithic three dimensional memory array of charge storage transistors. Polysilicon vias according to the present invention can be used, for example, to connect the channel layer of a first device level of charge storage transistor memory cells to the channel layer of a second device layer of such cells formed above the first device level. Similarly, vias according to the present invention can be used to connect the wordline of a first device level of charge storage transistor memory cells to the channel layer of a second device layer of such cells.
    Type: Grant
    Filed: October 4, 2010
    Date of Patent: March 29, 2011
    Assignee: SanDisk 3D LLC
    Inventors: Michael W. Konevecki, Usha Raghuram, Maitreyee Mahajani, Sucheta Nallamothu, Andrew J. Walker, Tanmay Kumar
  • Patent number: 7906420
    Abstract: A method for forming alloy deposits at selected areas on a receiving substrate includes the steps of: providing an alloy carrier including at least a first decal including a first plurality of openings and a second decal including a second plurality of openings, the first and second decals being arranged such that each of the first plurality of openings is in alignment with a corresponding one of the second plurality of openings; filling the first and second plurality of openings with molten alloy; cooling the molten alloy to thereby form at least first and second plugs, the first plug having a first surface and a second surface substantially parallel to one another, the second plug having a third surface and a fourth surface substantially parallel to one another; removing at least one of the first and second decals to at least partially expose the first and second plugs; aligning the alloy carrier with the receiving substrate so that the first and second plugs correspond to the selected areas on the receivin
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: March 15, 2011
    Assignee: International Business Machines Corporation
    Inventors: Peter Alfred Gruber, Paul Alfred Lauro, Jae-Woong Nah
  • Patent number: 7897501
    Abstract: A method of fabricating a semiconductor device is disclosed. The method of fabricating a semiconductor device provides a semiconductor substrate; forming a gate stack overlying the semiconductor substrate; forming spacers each having a first inner spacer and a second outer spacer on sidewalls of the gate stack; forming a protective layer on sidewalls of the spacers, covering a part of the semiconductor substrate, wherein an etching selectivity of the protective layer is higher than that of the first inner spacer.
    Type: Grant
    Filed: January 14, 2008
    Date of Patent: March 1, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chien-Li Cheng, Sun-Jay Chang, Tung-Heng Hsieh, Yung-Shen Chen
  • Patent number: 7883908
    Abstract: A method for fabricating a semiconductor component with an encapsulated through wire interconnect includes the steps of providing a substrate having a first side, a second side and a substrate contact; forming a via in the substrate contact and the substrate to the second side; placing a wire in the via; forming a first contact on the wire proximate to the first side and a second contact on the wire proximate to the second side; and forming a polymer layer on the first side leaving the first contact exposed. The polymer layer can be formed using a film assisted molding process including the steps of: forming a mold film on tip portions of the bonding members, molding the polymer layer, and then removing the mold film to expose the tip portions of the bonding members. The through wire interconnect provides a multi level interconnect having contacts on opposing sides of the semiconductor substrate.
    Type: Grant
    Filed: October 19, 2009
    Date of Patent: February 8, 2011
    Assignee: Micron Technology, Inc.
    Inventors: David R. Hembree, Alan G. Wood
  • Publication number: 20110024849
    Abstract: A semiconductor device according to one embodiment includes: a semiconductor substrate; an element isolation insulating film embedded in the vicinity of a front surface of the semiconductor substrate; a through plug penetrating the semiconductor substrate from a back surface to the front surface so as to penetrate through the element isolation insulating film, and having a multi-stage structure comprising an upper stage portion and a lower stage portion, the upper stage portion having a region surrounded by the element isolation insulating film in the semiconductor substrate, the lower stage portion having a diameter larger than that of the upper stage portion; and a contact plug connected to an end portion of the through plug on the frond surface side of the semiconductor substrate for connecting a conductive member formed above the front surface side of the semiconductor substrate to the through plug.
    Type: Application
    Filed: January 11, 2010
    Publication date: February 3, 2011
    Inventor: Kazutaka AKIYAMA
  • Publication number: 20110024815
    Abstract: A method for fabricating a semiconductor apparatus including a buried gate removes factors deteriorating the operational reliability of the semiconductor device such as the electrical connection between a contact and a word line, and increases a processing margin when forming the contact disposed on a source/drain region. The method includes forming a recess in a semiconductor substrate, forming a gate in a lower portion of the recess, forming a first insulation layer over the gate, growing silicon over the first insulation layer in the recess, and depositing a second insulation layer over the semiconductor substrate and in the remaining portion of the recess.
    Type: Application
    Filed: December 30, 2009
    Publication date: February 3, 2011
    Applicant: Hynix Semiconductor Inc.
    Inventor: Han Nae KIM
  • Patent number: 7875544
    Abstract: A reduction in the intersection of vias on the last layer (“VL”) and holes in the last thin metal layer (“MLHOLE”) can be achieved without degrading product yield or robustness or increasing copper dishing. The mutation of some dense redundant VLs to MLHOLEs decreases the number of intersections between VLs and MLHOLEs.
    Type: Grant
    Filed: January 16, 2007
    Date of Patent: January 25, 2011
    Assignees: Infineon Technologies AG, International Business Machines Corporation, United Microelectronics Co.
    Inventors: Robert C. Wong, Ernst H. Demm, Pak Leung, Alexander M. Hirsch
  • Patent number: 7875979
    Abstract: A metal line of a semiconductor device having a diffusion barrier including CrxBy and a method for forming the same is described. The metal line of a semiconductor device includes an insulation layer formed on a semiconductor substrate. The insulation layer is formed having a metal line forming region. A diffusion barrier including a CrxBy layer is subsequently formed on the surface of the metal line forming region and the insulation layer. A metal line is finally formed to fill the metal line forming region of the insulation layer on the diffusion barrier including a CrxBy layer.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: January 25, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Dong Ha Jung, Seung Jin Yeom, Baek Mann Kim, Young Jin Lee, Jeong Tae Kim
  • Patent number: 7875542
    Abstract: It is required that a line width of a wiring is prevented from being wider to be miniaturized when the wiring or the like is formed by a dropping method typified by an ink-jetting method. The invention provides a method for narrowing (miniaturizing) a line width according to a method different from a conventional method. One feature of the invention is that a plasma treatment is performed before forming a wiring or the like by a dropping method typified by an ink-jetting method. As the result of the plasma treatment, a surface for forming a conductive film is modified to be liquid-repellent. Consequently, a wiring or the like formed by a dropping method can be miniaturized.
    Type: Grant
    Filed: May 17, 2007
    Date of Patent: January 25, 2011
    Assignee: Semiconductor Energy laboratory Co., Ltd.
    Inventors: Shinji Maekawa, Koji Muranaka
  • Publication number: 20100330793
    Abstract: A method for forming a highly integrated semiconductor device having multiplayer conductive lines is presented. The method includes the operations of forming, etching, burying and forming. The first forming operation includes forming a line-type conductive layer on a semiconductor substrate including a buried gate to expose the gate. The etching operation includes etching the conductive layer to expose at least a region between one side of an active area defined in the semiconductor substrate and an opposite side of the neighboring active area, both the active areas being arranged next to each other in a major axis direction of the gate. The burying operation includes burying a first insulating film in the etched line-type conductive layer. The second forming operation includes forming a bit line passing through the center of the active area in a direction perpendicular to the major axis direction of the gate.
    Type: Application
    Filed: December 30, 2009
    Publication date: December 30, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Sei Jin KIM
  • Patent number: 7847405
    Abstract: In one aspect of the present invention, a semiconductor device may include an inter-wiring dielectric film in which a wiring trench is formed, a metal wiring layer formed in the wiring trench in the inter-wiring dielectric film, a first barrier layer formed on a side surface of the wiring trench, the first barrier layer being an oxide film made from a metal different from a main constituent metal element in the wiring layer, a second barrier layer formed on a side surface of the wiring layer, the second barrier layer having a Si atom of the metal used in the wiring layer, and a gap formed between the first barrier layer and the second barrier layer.
    Type: Grant
    Filed: May 8, 2009
    Date of Patent: December 7, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tadayoshi Watanabe, Yumi Hayashi, Takamasa Usui
  • Patent number: 7838421
    Abstract: A method of forming metal lines of a semiconductor device, comprising providing a semiconductor substrate in which a plurality of gates and junctions formed between the gates are included in a cell area and a peripheral area; forming an insulating layer over the semiconductor substrate including the gates; forming an etch protection layer over the insulating layer; etching the etch protection layer and the insulating layer, and gap-filling conductive material to form contact plugs contacting the junctions of the cell area; and, forming first metal lines contacting the contact plugs and forming second metal lines contacting the junctions of the peripheral area by etching the etch protection layer and the insulating layer.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: November 23, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Min Sik Jang
  • Patent number: 7838999
    Abstract: An integrated circuit/substrate interconnect apparatus and method of manufacture are provided. Included is a substrate with a plurality of wells and a landing pad formed in each of the wells. The substrate further includes a seed layer deposited in each of the wells over the landing pad, and a metalized layer deposited in each of the wells over the seed layer. Before assembly, an upper surface of the metalized layer forms a well.
    Type: Grant
    Filed: April 9, 2007
    Date of Patent: November 23, 2010
    Assignee: NVIDIA Corporation
    Inventors: Inderjit Singh, Ray Chen, Behdad Jafari
  • Patent number: 7834459
    Abstract: An inventive semiconductor device includes at least three interconnection layers sequentially stacked without intervention of a via layer. At least one of the interconnection layers includes an interconnection and a via which connects interconnections provided in interconnection layers underlying and overlying the one interconnection layer.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: November 16, 2010
    Assignee: Rohm Co., Ltd.
    Inventor: Satoshi Kageyama
  • Patent number: 7830005
    Abstract: An integrated circuit includes: a substrate; and a bond pad array on the substrate. The bond pad array includes: a row of inner bond pads, each inner bond pad positioned with respect to a plurality of inner pad openings; a plurality of first inner metal layers respectively coupled to the inner bond pads for transmitting signals between the inner pads and an internal circuit, where at least one first inner metal layer has a width less than a width of a corresponding inner bond pad; a row of outer bond pads, staggered with respect to the row of inner bond pads; and a plurality of first outer metal layers respectively coupled to the outer bond pads for transmitting signals between the outer pads and the internal circuit, where at least one inner bond pad overlaps adjacent first outer metal layers.
    Type: Grant
    Filed: November 12, 2008
    Date of Patent: November 9, 2010
    Assignee: Mediatek Inc.
    Inventors: Chuan-Cheng Hsiao, Hung-Sung Li, I-Cheng Lin, Che-Yuan Jao
  • Patent number: 7816260
    Abstract: A method for fabricating a semiconductor device according to the present invention includes: a step for forming a wiring layer on a semiconductor substrate; a step for patterning the wiring layer; and a step for covering the wiring layer with a protective insulating film. Moreover, after the step for forming the wiring layer, all required heat treatment steps to be performed prior to the step for covering the wiring layer with the protective insulating film are performed at a temperature lower than a temperature for plastic deformation of the wiring layer.
    Type: Grant
    Filed: July 3, 2007
    Date of Patent: October 19, 2010
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Makiko Kageyama
  • Publication number: 20100258873
    Abstract: A semiconductor device includes a first contact formed so as to be connected to the first impurity-diffused region, but not to the first gate electrode; and a second contact formed so as to be connected commonly to the second gate electrode and the second impurity-diffused region, wherein each of the first contact and the second contact has a profile such that the taper angle changes at an intermediate position in the depth-wise direction from the surface of an insulating film towards a substrate, and the intermediate position where the taper angle changes resides more closer to the substrate in the second contact, than in the first contact.
    Type: Application
    Filed: April 8, 2010
    Publication date: October 14, 2010
    Applicants: NEC ELECTRONICS CORPORATION, KABUSHIKI KAISHA TOSHIBA
    Inventors: KEIICHI HARASHIMA, Hiroyuki Maeda
  • Patent number: 7800184
    Abstract: Disclosed are integrated circuit structures each having a silicon germanium film incorporated as a local interconnect and/or an electrical contact. These integrated circuit structures provide improved local interconnects between devices and/or increased capacitance to devices without significantly increasing structure surface area or power requirements. Specifically, disclosed are integrated circuit structures that incorporate a silicon germanium film as one or more of the following features: as a local interconnect between devices; as an electrical contact to a device (e.g., a deep trench capacitor, a source/drain region of a transistor, etc.); as both an electrical contact to a deep trench capacitor and a local interconnect between the deep trench capacitor and another device; and as both an electrical contact to a deep trench capacitor and as a local interconnect between the deep trench capacitor and other devices.
    Type: Grant
    Filed: January 9, 2006
    Date of Patent: September 21, 2010
    Assignee: International Business Machines Corporation
    Inventor: Steven H. Voldman
  • Patent number: 7800200
    Abstract: A wireless IC tag is provided with a memory circuit including a ROM in which an identification number is written, and a pulse width detection circuit having divided resistors and a capacitor for detecting a signal waveform from a reader. In order to prevent the increase in the number of process steps and photomasks, a resistance value of the pulse width detection circuit is adjusted by an electron beam writing method while utilizing a step of writing an identification number unique to the wireless IC tag into the ROM of the memory circuit.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: September 21, 2010
    Assignee: Hitachi, Ltd.
    Inventor: Mitsuo Usami
  • Publication number: 20100233863
    Abstract: To provide a technique capable of suppressing the diffusion of copper atoms adhering to the back face of a semiconductor substrate from the back face into the inside of the semiconductor substrate, and capable of suppressing performance degradation of semiconductor elements such as a MISFET formed at the main face of the semiconductor substrate, in semiconductor devices using copper wiring for a wiring layer. A copper diffusion prevention film formed at the main face of the semiconductor substrate is denoted by a first copper diffusion prevention film, and a copper diffusion prevention film formed at the back face of the semiconductor substrate is denoted by a second copper diffusion prevention film. The characteristic of the embodiment lies in that the second copper diffusion prevention film is formed at the back face of the semiconductor substrate.
    Type: Application
    Filed: March 10, 2010
    Publication date: September 16, 2010
    Inventors: Takeshi Kawamura, Masakazu Okada
  • Publication number: 20100224936
    Abstract: A semiconductor device according to one embodiment includes: adjacent first and second transistors each formed on a semiconductor substrate, the first and second transistors respectively having first and second gate electrodes and sharing a source/drain region therebetween; a first insulating film formed on the first gate electrode; a second insulating film formed on the second gate electrode and comprising a region thicker than the first insulating film; and a self-aligned contact plug connected to the source/drain region, a horizontal distance from a center position of the self-aligned contact plug to the second gate electrode being less than a horizontal distance from a center position between the first and second gate electrodes to the second gate electrode.
    Type: Application
    Filed: January 6, 2010
    Publication date: September 9, 2010
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Akira Hokazono
  • Patent number: 7786513
    Abstract: In a semiconductor integrated circuit device, from a first power source strap supplying a potential to a first standard cell receiving a supply of the potential, the potential is supplied via a first cell power source line having a constant width. The width of the first cell power source line is determined in accordance with power consumed by the first standard cell and with the number of standard cells that can be placed between the first power source strap and a third power source strap.
    Type: Grant
    Filed: March 2, 2007
    Date of Patent: August 31, 2010
    Assignee: Panasonic Corporation
    Inventor: Masanori Tsutsumi
  • Publication number: 20100200930
    Abstract: An improvement is provided in a manufacturing yield of a semiconductor device including transistors in which gate insulating films have different thicknesses. After a high-breakdown-voltage insulating film is formed over a silicon substrate, a surface of the high-breakdown-voltage insulating film is abraded for a reduction in the thickness thereof so that a middle-breakdown-voltage insulating film is formed to be adjacent to the high-breakdown-voltage insulating film. The high-breakdown-voltage insulating film is formed by a thermal oxidation method so as to extend from an inside of the main surface of the silicon substrate to an outside thereof. The middle-breakdown-voltage insulating film is formed so as to be thinner than the high-breakdown-voltage insulating film.
    Type: Application
    Filed: January 13, 2010
    Publication date: August 12, 2010
    Inventors: Yasuhiro FUJII, Kazumasa YONEKURA, Tatsunori KANEOKA
  • Patent number: 7763936
    Abstract: A lateral MOS device is formed in a body having a surface and is formed by a semiconductor layer of a first conductivity type; a drain region of a second conductivity type, formed in the semiconductor layer and facing the surface; a source region of the second conductivity type, formed in the semiconductor layer and facing the surface; a channel of the first conductivity type, formed in the semiconductor layer between the drain region and the source region and facing the surface; and an insulated gate region, formed on top of the surface over the channel region. In order to improve the dynamic performance, a conductive region extends only on one side of the insulated gate region, on top of the drain region but not on top of the insulated gate region.
    Type: Grant
    Filed: September 8, 2005
    Date of Patent: July 27, 2010
    Assignee: STMicroelectronics, S.r.l.
    Inventors: Antonello Santangelo, Salvatore Cascino, Leonardo Gervasi