With Source And Drain On Same Level And Without Cell Select Transistor (epo) Patents (Class 257/E21.682)
  • Patent number: 7579237
    Abstract: A method of manufacturing a nonvolatile memory device includes forming a plurality of device isolation regions in a semiconductor substrate, forming a tunneling insulation layer on the semiconductor substrate, forming a first preliminary polysilicon layer in communication with the tunneling insulation layer and the device isolation regions, forming a preliminary amorphous silicon layer on the first preliminary silicon layer, forming a second preliminary polysilicon layer on the preliminary amorphous silicon layer, and patterning the second preliminary polysilicon layer, the preliminary amorphous silicon layer, and the first preliminary polysilicon layer to form a floating gate layer.
    Type: Grant
    Filed: January 16, 2007
    Date of Patent: August 25, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Kyoung Lee, Jin-Hong Kim, Dong-Hwan Kim, Won-Sik Shin, Woong Lee
  • Patent number: 7572702
    Abstract: Embodiments relate to a gate structure of a split gate-type non-volatile memory device and a method of manufacturing the same. In embodiments, the split gate-type non-volatile memory device may include a device isolation layer formed on a semiconductor substrate in the direction of a bit line to define an active region, a pair of first conductive layer patterns formed on the active region, a charge storage layer interposed between the pair of first conductive layer patterns and the active region, a pair of second conductive layer pattern formed on the active region and extended along the one sidewalls of the pair of first conductive layer patterns in the direction parallel to a word line, and a gate insulating layer interposed between the pair of second conductive layer patterns and the active region. The pair of second conductive layer patterns may be formed on one sidewalls of the pair of first conductive layer patterns in the form of spacers.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: August 11, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Chul Jin Yoon
  • Patent number: 7566644
    Abstract: A method for forming a gate electrode of a semiconductor device is provided wherein a hard mask layer which is a nitride film is deposited and subjected to an additional surface deposition process so that a matrix structure of a nitride film surface becomes more compact to reduce an etching ratio of the hard mask layer thereby increasing a thickness of the residual hard mask layer.
    Type: Grant
    Filed: June 2, 2005
    Date of Patent: July 28, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Ki Won Nam
  • Patent number: 7563674
    Abstract: A method of manufacturing a NAND flash memory device, wherein isolation layers are formed in a semiconductor substrate, and an upper side of each of the isolation layers is made to have a negative profile. A polysilicon layer is formed on the entire surface. At this time, a seam is formed within the polysilicon layer due to the negative profile. A post annealing process is performed in order to make the seam to a void. Accordingly, an electrical interference phenomenon between cells can be reduced and a threshold voltage (Vt) shift value can be lowered.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: July 21, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Byoung Ki Lee
  • Patent number: 7560340
    Abstract: A method of manufacturing flash memory devices increases a coupling ratio by increasing the height of a floating gate externally projecting from an isolation layer. A portion of the isolation layer between the floating gates is etched so that a control gate to be formed subsequently is located between the floating gates. Accordingly, an interference phenomenon can be reduced.
    Type: Grant
    Filed: December 6, 2006
    Date of Patent: July 14, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Whee Won Cho, Seung Hee Hong, Seong Hwan Myung, Eun Soo Kim
  • Patent number: 7560764
    Abstract: A new SONOS memory device is provided, in which a conventional planar surface of multi-dielectric layers (ONO layers) is instead formed with a curved surface such as a cylindrical shape, and included is a method for fabricating the same. A radius of curvature of the upper surface of a blocking oxide can be designed to be larger than that of the lower surface of a tunneling oxide, which restrains electrons from passing through the blocking oxide by back-tunneling on erasing. As a result, a SONOS memory device shows an improvement in erasing speed.
    Type: Grant
    Filed: June 15, 2006
    Date of Patent: July 14, 2009
    Assignees: Seoul National University Foundation, Samsung Electronics Co., Ltd.
    Inventors: Byung-Gook Park, Jung-Hoon Lee
  • Patent number: 7554150
    Abstract: A non-volatile memory device includes isolation layers, a cell trench, a floating gate, a common source region and a word line. The isolation layers define an active region of a substrate. The cell trench is formed in the active region. The cell trench extends in a first direction. The floating gate is formed on the active region and in the cell trench. The common source region is formed on the active region adjacent a second side face of the floating gate and extends in a second direction substantially perpendicular to the first direction. The word line is formed on the active region, which is adjacent to a first side face of the floating gate opposite to the second side face, and the isolation layers and in the cell trench. The word line extends in the second direction.
    Type: Grant
    Filed: May 17, 2007
    Date of Patent: June 30, 2009
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Hong-Kook Min, Yong-Suk Choi, Hyok-Ki Kwon
  • Patent number: 7554149
    Abstract: Flash memory devices include pillar patterns formed between selected pairs of floating gates and control gate extensions that penetrate between selected pairs of floating gates are provided. Methods of fabricating the flash memory devices are also provided.
    Type: Grant
    Filed: November 28, 2005
    Date of Patent: June 30, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Dong-Chan Kim
  • Patent number: 7541638
    Abstract: A memory structure in a semiconductor substrate essentially comprises a first conductive line, two conductive blocks, two first dielectric spacers, a first dielectric layer, and a second conductive line. The first conductive line, e.g., a polysilicon line, is formed above the semiconductor substrate, and the two conductive blocks composed of polysilicon, for example, are formed at the two sides of the first conductive line and insulated from the first conductive line with the two first dielectric spacers. The first dielectric layer, such as an oxide/nitride/oxide (ONO) layer, is formed on the two second conductive blocks and above the first conductive line, and the second conductive line is formed on the first dielectric layer and is substantially perpendicular to the two doping regions. Accordingly, the stack of the conductive block, the first dielectric layer, and the second conductive line form a floating gate structure which can store charges.
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: June 2, 2009
    Assignee: Skymedi Corporation
    Inventor: Fuja Shone
  • Patent number: 7511333
    Abstract: A memory cell (110) has a plurality of floating gates (120L, 120R). The channel region (170) comprises a plurality of sub-regions (220L, 220R) adjacent to the respective floating gates, and a connection region (210) between the floating gates. The connection region has the same conductivity type as the source/drain regions (160) to increase the channel conductivity. Therefore, the floating gates can be brought closer together even though the inter-gate dielectric (144) becomes thick between the floating gates, weakening the control gate's (104) electrical field in the channel.
    Type: Grant
    Filed: October 6, 2005
    Date of Patent: March 31, 2009
    Assignee: ProMOS Technologies Inc.
    Inventors: Yue-Song He, Chung Wai Leung, Jin-Ho Kim, Kwok Kwok Ng
  • Patent number: 7494871
    Abstract: A semiconductor memory device can include select transistors and cell transistors on a semiconductor substrate. An insulation layer covers the select transistors and the cell transistors. The bit lines are in the insulation layer and are electrically connected to respective ones of the select transistors. The bit lines are arranged along at least two different parallel planes having different heights relative to the semiconductor substrate.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: February 24, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Sub Lee, Jeong-Hyuk Choi, Woon-Kyung Lee, Jai-Hyuk Song, Dong-Yean Oh
  • Patent number: 7494870
    Abstract: A string of nonvolatile memory cells are connected together by source/drain regions that include an inversion layer created by fixed charge in an overlying layer. Control gates extend between floating gates so that two control gates couple to a floating gate. A fixed charge layer may be formed by plasma nitridation.
    Type: Grant
    Filed: January 24, 2007
    Date of Patent: February 24, 2009
    Assignee: SanDisk Corporation
    Inventors: Henry Chien, George Matamis, Takashi Orimoto, James Kai
  • Patent number: 7489005
    Abstract: An EEPROM having a nonvolatile memory cell is provided. The nonvolatile memory cell has a first MOS transistor and a second MOS transistor. The first MOS transistor and the second MOS transistor have a gate electrode in common, the gate electrode being a floating gate electrically isolated from a surrounding circuitry. The first MOS transistor and the second MOS transistor are of a same conductivity type.
    Type: Grant
    Filed: November 27, 2006
    Date of Patent: February 10, 2009
    Assignee: NEC Electronics Corporation
    Inventor: Kouji Tanaka
  • Patent number: 7488649
    Abstract: A method of manufacturing a split gate type non-volatile memory device includes the steps of defining an active region on a semiconductor substrate; forming a pair of first conductive film patterns, each having an electric charge storage layer interposed between the substrate and the first conductive film pattern, on the active region; forming a second conductive film on top of the first conductive film patterns and a remainder of the active region; etchbacking the entire surface of the second conductive film to planarize a top of the second conductive film formed between the first conductive film patterns; forming a photoresist pattern, with an opening corresponding to the active region between the first conductive film patterns, on the second conductive film; and forming a pair of split gates each having one of the first conductive film patterns and a second conductive film pattern formed by patterning the second conductive film using the photoresist pattern as an etching mask.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: February 10, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Yong Jun Lee
  • Publication number: 20080286924
    Abstract: A semiconductor memory device includes a memory cell which includes a first gate insulation film provided on the semiconductor substrate; a floating gate electrode provided on the first gate insulation film; a second gate insulation film provided on the floating gate electrode; a control gate electrode provided on the second gate insulation film; a source layer and a drain layer that are provided in the semiconductor substrate, the source layer and the drain layer respectively being provided either side of a channel region which is below the floating gate electrode; a source electrode that is electrically connected to the source layer; a buffer film provided on the drain layer; and a memory cell including a drain electrode electrically connected to the drain layer through the buffer film, wherein when viewing the surface of the semiconductor substrate from above, an overlapped area between the floating gate electrode and the drain layer is smaller than an overlapped area between the floating gate electrode an
    Type: Application
    Filed: July 28, 2008
    Publication date: November 20, 2008
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Takamitsu Ishihara
  • Patent number: 7452773
    Abstract: In a method of manufacturing a flash memory device, an insulation layer pattern is formed on a substrate having cell and peripheral regions. Trenches formed in the substrate are converted into trench structures. A tunnel oxide layer is formed on the substrate. A space between the trench structures is filled with a first conductive layer. The trench structures are removed to form trench isolation structures and to convert the first conductive layer into a first conductive layer pattern. A dielectric layer is formed on the first conductive layer patterns and the trench isolation structures. An insulation layer is formed on the substrate in the peripheral region. A third conductive layer is formed on the second conductive layer, the insulation layer and the trench isolation layers. First and second gate structures are formed in the cell region and the peripheral region, respectively.
    Type: Grant
    Filed: June 9, 2006
    Date of Patent: November 18, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Un Kwon, Yong-Sun Ko, Jae-Seung Hwang
  • Patent number: 7449747
    Abstract: Flash memory is rapidly decreasing in price. There is a demand for a new memory system that permits size reduction and suits multiple-value memory. A flash memory of AND type suitable for multiple-value memory with multiple-level threshold values can be made small in area if the inversion layer is utilized as the wiring; however, it suffers the disadvantage of greatly varying in writing characteristics from cell to cell. Another promising method of realizing multiple-value memory is to change the storage locations. This method, however, poses a problem with disturbance at the time of operation. The present invention provides one way to realize a semiconductor memory device with reduced cell-to-cell variation in writing characteristics.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: November 11, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Tomoyuki Ishii, Kazunori Furusawa, Hideaki Kurata, Yoshihiro Ikeda
  • Patent number: 7445999
    Abstract: A flash memory cell including a first conductive type substrate, a second conductive type well, a patterned film layer, a second conductive type doped region, a tunneling dielectric layer, a plurality of floating gates, an inter-gate dielectric layer and a plurality of control gates is provided. The floating gates are formed on the first conductive type substrate outside the patterned film layer. The floating gates have a thickness greater than the patterned film layer. Thus, the overlapping area between the floating gates and the control gates and hence the coupling ratio of the flash memory cell is increased.
    Type: Grant
    Filed: August 2, 2006
    Date of Patent: November 4, 2008
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Leo Wang, Cheng-Tung Huang, Saysamone Pittikoun
  • Publication number: 20080261365
    Abstract: A technology realizing decreases of capacitance between the adjoining floating gates and of the threshold voltage shift caused by interference between the adjoining memory cells in a nonvolatile semiconductor memory device with the advances of miniaturization in the period following the 90 nm generation. By having the floating gate 3 of a memory cell with an inverse T-shape and the dimension of a part of the floating gate through the control gate 4 and the second insulator film 8 being smaller than the bottom part of the floating gate, the effects of a threshold voltage shift is reduced maintaining the adequate area of the gap between the floating gate 3 and the control gate 4, decreasing the opposing area of the gap of the floating gates 3 underneath the adjoining word lines WL, maintaining the capacity coupling ratio between the floating gate 3 and the control gate, and reducing the opposing area of the gap of the adjoining floating gates 3.
    Type: Application
    Filed: October 1, 2007
    Publication date: October 23, 2008
    Inventors: Yoshitaka SASAGO, Takashi Kobayashi
  • Patent number: 7436019
    Abstract: A non-volatile memory array has word lines coupled to floating gates, the floating gates having an upper portion that is adapted to provide increased surface area, and thereby, to provide increased coupling to the word lines. Shielding between floating gates is also provided. The upper portion covers part of a lower portion of the floating gate and leaves a part of the lower portion uncovered. A control gate is coplanar with a top surface of the upper portion, a vertical side of the upper portion, and the uncovered portion of the lower portion.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: October 14, 2008
    Assignee: SanDisk Corporation
    Inventors: Jeffrey W. Lutze, Tuan Pham, Masaaki Higashitani
  • Patent number: 7435654
    Abstract: There are provided an analog capacitor having at least three high-k dielectric layers, and a method of fabricating the same. The analog capacitor includes a lower electrode, an upper electrode, and at least three high-k dielectric layers interposed between the lower electrode and the upper electrode. The at least three high-k dielectric layers include a bottom dielectric layer contacting the lower electrode, a top dielectric layer contacting the upper electrode, and a middle dielectric layer interposed between the bottom dielectric layer and the top dielectric layer. Further, each of the bottom dielectric layer and the top dielectric layer is a high-k dielectric layer, the absolute value of the quadratic coefficient of VCC thereof being relatively low compared to that of the middle dielectric layer, and the middle dielectric layer is a high-k dielectric layer having a low leakage current compared to those of the bottom dielectric layer and the top dielectric layer.
    Type: Grant
    Filed: June 14, 2006
    Date of Patent: October 14, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Kuk Jeong, Seok-Jun Won, Dae-Jin Kwon, Weon-Hong Kim
  • Patent number: 7432204
    Abstract: A wafer and the manufacturing and reclaiming methods thereof are disclosed. The wafer includes a semiconductor substrate and a protective layer formed on the surface of the semiconductor substrate. The reclaiming method of the wafer includes providing a wafer having a semiconductor substrate, a protective layer formed on the semiconductor substrate, and a polysilicon layer formed on the protective layer; and removing the polysilicon layer. The wafer and the reclaiming method of the wafer can prevent the substrate of the wafer from being destroyed during the reclaiming process and increase the reclaiming rate of the wafer.
    Type: Grant
    Filed: August 13, 2004
    Date of Patent: October 7, 2008
    Assignee: Mosel Vitelic, Inc.
    Inventors: Jen Chieh Chang, Yi Fu Chung, Pei-Feng Sun
  • Patent number: 7429766
    Abstract: In a split gate type nonvolatile memory device, a supplementary layer pattern is disposed on a source region of a semiconductor substrate. Since the source region is vertically extended by virtue of the presence of the supplementary layer pattern, it is therefore possible to increase an area of a region where a floating gate overlaps the source region and the supplementary layer pattern. Accordingly, the capacitance of a capacitor formed between the source and the floating gate increases so that it is possible for the nonvolatile memory device to perform program/erase operations at a low voltage level.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: September 30, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hee-Seog Jeon, Seung-Beom Yoon, Jeong-Uk Han, Yong-Tae Kim
  • Patent number: 7423312
    Abstract: The present invention provides an apparatus and method for a non-volatile memory comprising at least one array of memory cells with shallow trench isolation (STI) regions between bit lines for increased process margins. Specifically, in one embodiment, each of the memory cells in the array of memory cells includes a source, a control gate, and a drain, and is capable of storing at least one bit. The array of memory cells further includes word lines that are coupled to control gates of memory cells. The word lines are arranged in rows in the array. In addition, the array comprises bit lines coupled to source and drains of memory cells. The bit lines are arranged in columns in the array. Also, the array comprises at least one row of bit line contacts for providing electrical conductivity to the bit lines. Further, the array comprises shallow trench isolation (STI) regions separating each of the bit lines along the row of bit line contacts.
    Type: Grant
    Filed: July 20, 2004
    Date of Patent: September 9, 2008
    Assignee: Spansion LLC
    Inventor: Satoshi Torii
  • Patent number: 7419870
    Abstract: Provided is a method of manufacturing a flash memory device. In the method, after forming a cell string and source/drain selection transistors, it forms a first oxide film in which a sidewall oxide film and a buffering oxide film are stacked, a nitride film, and a second oxide film for spacer on the overall structure. Then, source/drain contact holes are formed. Thus, the source/drain selection transistors are prevented from being exposed while etching the source/drain contact holes, which enhances the reliability of the flash memory device.
    Type: Grant
    Filed: May 2, 2005
    Date of Patent: September 2, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Seung Woo Shin
  • Patent number: 7416944
    Abstract: In a flash EEPROM device, and method for fabricating the same, no bit line contact is made, thereby minimizing a design rule between a contact and a gate. Thus, cell size may be reduced. The flash EEPROM device includes a semiconductor substrate having an active area defined in a bit line direction and a word line direction, a plurality of floating gates formed in the word line direction, an interlayer polysilicon oxide film formed on a floating gate, a control gate formed on the interlayer polysilicon oxide film, source and drain electrodes disposed between adjacent floating gates in the word line direction, a buried N+ region formed in the semiconductor substrate under the source and drain electrodes, and a metal silicide film formed on an upper surface of the control gate.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: August 26, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Heung Jin Kim
  • Patent number: 7413953
    Abstract: The method of forming a floating gate array of a flash memory device includes: (a) sequentially forming a tunnel oxide film, a floating gate forming film, a capping oxide film and a first nitride film on a semiconductor substrate with an active device region defined by device isolation films; (b) patterning the first nitride film to form a first nitride film pattern; (c) forming first oxide film spacers on sidewalls of the first nitride film pattern; (d) selectively removing the first nitride film pattern; (e) forming a plurality of second nitride film patterns separated by the first oxide film spacers on the capping oxide film; (f) selectively removing the first oxide film spacers interposed between the plurality of second nitride film patterns and a portion of the capping oxide film to expose a surface of the floating gate forming film between the second nitride film patterns; (g) forming a plurality of floating gate patterns by removing a portion of the floating gate forming film exposed using the second n
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: August 19, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jong Woon Choi
  • Patent number: 7414281
    Abstract: A flash memory cell and a method of forming the same are described. The flash memory cell may include a substrate having a source and a drain, a gate element, and a dielectric layer between the substrate and the gate element. The dielectric layer includes a dielectric material having a dielectric constant that is greater than that of silicon dioxide.
    Type: Grant
    Filed: September 9, 2003
    Date of Patent: August 19, 2008
    Assignee: Spansion LLC
    Inventors: Richard M. Fastow, Yue-Song He, Zhigang Wang
  • Patent number: 7410870
    Abstract: Methods of forming non-volatile memory devices include steps to define features that enhance shielding of electronic interference between adjacent floating gate electrodes and improve leakage current and threshold voltage characteristics. These features also support improved leakage current and threshold voltage characteristics in string selection transistors that are coupled to non-volatile memory cells.
    Type: Grant
    Filed: October 23, 2006
    Date of Patent: August 12, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-Won Kim, Jong-Ho Park, Yong-Seok Kim
  • Patent number: 7410869
    Abstract: In a method of manufacturing a semiconductor device such as a flash memory device, an insulating pattern having an opening is formed to partially expose a surface of a substrate. A first silicon layer is formed on the exposed surface portion of the substrate and the insulating pattern. The first silicon layer has an opened seam overlying the previously exposed portion of the substrate. A heat treatment on the substrate is performed at a temperature sufficient to induce silicon migration so as to cause the opened seam to be closed via the silicon migration. A second silicon layer is then formed on the first silicon layer. Thus, surface profile of a floating gate electrode obtained from the first and second silicon layers may be improved.
    Type: Grant
    Filed: July 5, 2006
    Date of Patent: August 12, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hun-Hyeoung Leam, Hyeon-Deok Lee, Young-Sub You, Won-Jun Jang, Woong Lee, Jung-Hyun Park, Sang-Kyoung Lee, Jung-Geun Jee, Sang-Hoon Lee
  • Patent number: 7390716
    Abstract: A method of manufacturing a flash memory device. An etch process for controlling the effective field height of isolation layers is performed using a dry etch process on condition that an excessive amount of polymer is generated, thus forming first spacers on sidewalls of a floating gate pattern. The first spacers serve as an etch barrier layer when the isolation layers of regions exposed when a control gate and a floating gate are formed subsequently are etched, so that a second spacer is formed on sidewalls of the semiconductor substrate of an active region. Accordingly, exposure and damage of the sidewalls of the semiconductor substrate can be prevented and the reliability of devices can be improved.
    Type: Grant
    Filed: October 18, 2006
    Date of Patent: June 24, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: In No Lee
  • Publication number: 20080105919
    Abstract: A non-volatile memory device prevents charge spreading. The non-volatile memory device includes an isolation trench in a semiconductor substrate, an isolation layer partially filling the isolation trench between first and second fins defined by the isolation trench, a control gate electrode crossing the first and second fins, a first charge trap pattern between the first fin and the control gate electrode, and a second charge trap pattern between the second fin and the control gate electrode.
    Type: Application
    Filed: June 29, 2007
    Publication date: May 8, 2008
    Inventors: Ju-Wan Lim, Hyun-Seok Jang, Byung-Hong Chung, Ki-Hyun Hwang, Sang-Ryol Yang
  • Patent number: 7368341
    Abstract: An explanation is given of, inter alia, a circuit arrangement containing a trench which penetrates through a charge-storing layer (18) and a doped semiconductor layer (14). The trench simultaneously fulfils a multiplicity of functions, namely an insulating function between adjacent components, the patterning of the charge-storing layer and also the subdivision of doping layers of the semiconductor layer (14).
    Type: Grant
    Filed: June 1, 2006
    Date of Patent: May 6, 2008
    Assignee: Infineon Technologies AG
    Inventors: Achim Gratz, Klaus Knobloch, Franz Schuler
  • Patent number: 7361551
    Abstract: A method for forming a portion of a semiconductor device includes: patterning gate stack layers overlying a substrate into a gate stack; implanting dopant ions to form shallow source/drain extension implant regions in the substrate adjacent to the gate stack; oxidizing the gate stack at first oxidation conditions to form an oxidation layer on sidewalls of the gate stack; and oxidizing the gate stack at second oxidation conditions to form further oxidation of the oxidation layer on sidewalls of the gate stack. The second oxidation conditions are different from the first oxidation conditions.
    Type: Grant
    Filed: February 16, 2006
    Date of Patent: April 22, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Chi-Nan B. Li, Cheong M. Hong
  • Patent number: 7354824
    Abstract: A method for fabricating a non-volatile memory is provided. A dielectric layer, a first conductive layer, and a mask layer are formed sequentially on a substrate and then patterned to form a number of openings and floating gates. In addition, spacers are formed on the sidewalls of the openings. A source/drain region is formed in the substrate underneath each of the openings. A thermal process is performed to oxidize the substrate exposed by the opening to form an insulating layer above the source/drain region. Afterward, the mask layer is removed and an inter-gate dielectric layer is formed to cover the surface of the first conductive layer and the surface of the insulating layer. Subsequently, a second conductive layer is formed on the inter-gate dielectric layer.
    Type: Grant
    Filed: May 3, 2006
    Date of Patent: April 8, 2008
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Hsin-Fu Lin, Chun-Pei Wu
  • Patent number: 7355239
    Abstract: Improved methods of manufacturing semiconductor devices are provided to reduce dielectric loss in isolation trenches of the devices. In one example, a method of manufacturing a semiconductor device includes forming a plurality of shallow trench isolation (STI) trenches in a substrate. A tunnel oxide layer, a first conductive layer, a gate dielectric layer, and a second conductive layer are formed above the substrate. The layers are etched to delineate a plurality of stacked gate structures. In particular, the etching may include: performing a first etch of the second conductive layer, wherein at least a portion of the second conductive layer above the STI trenches remains following the first etch; and performing a second etch of the second conductive layer, wherein the remaining portion of the second conductive layer above the STI trenches and portions of the gate dielectric layer above the STI trenches are completely removed by the second etch.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: April 8, 2008
    Assignee: ProMOS Technologies Pte. Ltd.
    Inventors: Barbara Haselden, Yi Ding
  • Patent number: 7351630
    Abstract: A method of manufacturing a flash memory device, including the steps of forming a gate on a semiconductor substrate in which a cell region, a source selection line region, and a drain selection line region are defined and then forming spacers on sidewalls of the gate; depositing a nitride film and a first interlayer insulating film on the entire structure, etching a region of the first interlayer insulating film to form a source contact hole, forming a conductive film on the entire structure to bury the source contact hole, and polishing the conductive film; forming a second interlayer insulating film on the entire structure, and then etching the second and first interlayer insulating films and the nitride film using a mask through which regions in which a cell region and a drain contact will be formed are opened; and, forming a polysilicon layer on the entire structure.
    Type: Grant
    Filed: June 16, 2006
    Date of Patent: April 1, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Woo Yung Jung
  • Patent number: 7348242
    Abstract: A method of fabricating a nonvolatile memory device including forming a plurality of device isolation layers in a semiconductor substrate to define a plurality of active regions, sequentially depositing an insulating layer and a first conductive layer on the semiconductor substrate, and forming a hard mask pattern on the first conductive layer. The method also includes forming a plurality of floating gates on the insulating layer by etching the first conductive layer using the hard mask pattern as a mask, forming a tunnel insulating layer on the semiconductor substrate including floating gates and the insulating layer, and depositing a second conductive layer on the tunnel insulating layer. The method further includes forming a plurality of control gate electrodes across the active regions by etching the second conductive layer, forming source and drain regions in the semiconductor substrate by performing an ion implantation, and forming contacts in the drain regions.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: March 25, 2008
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Tae Ho Choi
  • Publication number: 20080017909
    Abstract: A semiconductor device and a method of manufacturing the same. The semiconductor device includes a first well formed in a predetermined region of a semiconductor substrate, a second well formed in a predetermined region within the first well, and a third well formed within the first well with the third well being spaced apart from the second well at a predetermined distance. A multiple well of the semiconductor substrate, the first well, the second well, the first well, and the third well, which are sequentially disposed, is formed. Accordingly, a breakdown voltage can be increased and a leakage current can be reduced. It is therefore possible to prevent the drop of an erase voltage and to reduce the error of an erase operation.
    Type: Application
    Filed: December 29, 2006
    Publication date: January 24, 2008
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Wan Shin
  • Patent number: 7315058
    Abstract: To prevent the extraction of electrons from the floating gate during a read operation. A semiconductor memory device comprises a selection gate 3a provided in a first region on a substrate 1 through an insulating film 2, a floating gate 6a provided in a second region adjacent to the first region through an insulating film 5, a first and second diffusion regions 7a and 7b provided in a third region adjacent to the second region, and a control gate 11 provided over the floating gate 6a through an insulating film 8, the control gate 11 intersects with the selection gate 3a at different levels, a third diffusion region 21 is provided in a fourth region located near an extending part of the selection gate 3a on the surface of the substrate, the floating gate 6a is formed in the form of a side wall, and it has a round part 6b at the top on the side directed to the side wall surface of the selection gate 3a.
    Type: Grant
    Filed: September 5, 2006
    Date of Patent: January 1, 2008
    Assignee: NEC Electronics Corporation
    Inventor: Tsuneaki Hikita
  • Patent number: 7307332
    Abstract: The semiconductor device comprises a gate electrode 112 formed over a semiconductor substrate 10, a sidewall spacer 116 formed on the sidewall of the gate electrode 112, a sidewall spacer 144 formed on the side wall of the gate electrode 112 with the sidewall spacer 116 formed on, and an oxide film 115 formed between the sidewall spacer 116 and the sidewall spacer 144, and the semiconductor substrate 10. The film thickness of the oxide film 115 between the sidewall spacer 144 and the semiconductor substrate 10 is thinner than the film thickness of the oxide film 115 between the sidewall spacer 116 and the semiconductor substrate 10.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: December 11, 2007
    Assignee: Fujitsu Limited
    Inventor: Shinichi Nakagawa
  • Patent number: 7300843
    Abstract: A method of fabricating a flash memory device is disclosed wherein, electrode spacers are formed on sides of self-aligned floating gates having a negative slope. Thus, upon etching of a stack gate after an interlayer dielectric film and a control gate are formed, a stringer of a control gate, which is formed by the negative slope of the self-aligned floating gates, can be prevented. Furthermore, because an isotropic etch process is used to remove element isolation films between the floating gates, the element isolation films do not remain on the sides of the floating gates. It is thus possible to prevent loss of the coupling ratio. Accordingly, failure of devices can be reduced and decreasing the program speed can be prevented.
    Type: Grant
    Filed: June 16, 2005
    Date of Patent: November 27, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Seok Kiu Lee
  • Patent number: 7297598
    Abstract: A method of making embedded non-volatile memory devices includes forming a first mask layer overlying a polycrystalline silicon layer in a cell region and a peripheral region on a semiconductor substrate wherein the first mask layer has a plurality of openings in the cell region. Portions of the polycrystalline silicon layer exposed in the plurality of openings can be oxidized to form a plurality of poly-oxide regions, and the first mask layer can then be removed. The polycrystalline silicon layer not covered by the plurality of poly-oxide regions can be etched to form a plurality of floating gates, wherein etching the polycrystalline silicon layer is accompanied by a sputtering. A dielectric layer can then be formed, as well as a second mask layer in both the cell region and the peripheral region. The second mask layer in the cell region is partially etched back after a photoresist layer is formed over the second mask layer in the peripheral region.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: November 20, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Chang Liu, Chi-Hsin Lo, Shih-Chi Fu, Chia-Ta Hsieh, Wen-Ting Chu, Chia-Shiung Tsai
  • Patent number: 7265015
    Abstract: Chlorine is incorporated into pad oxide (110) formed on a silicon substrate (120) before the etch of substrate isolation trenches (134). The chlorine enhances the rounding of the top corners (140C) of the trenches when a silicon oxide liner (150.1) is thermally grown on the trench surfaces. A second silicon oxide liner (150.2) incorporating chlorine is deposited by CVD over the first liner (150.1), and then a third liner (150.3) is thermally grown. The chlorine concentration in the second liner (150.2) and the thickness of the three liners (150.1, 150.2, 150.3) are controlled to improve the corner rounding without consuming too much of the active areas (140).
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: September 4, 2007
    Assignee: ProMOS Technologies Inc.
    Inventors: Zhong Dong, Tai-Peng Lee
  • Patent number: 7262456
    Abstract: The disclosure relates to a bit line structure and an associated production method for the bit line structure. In the bit line structure, at least in a region of a second contact and a plurality of first contact adjoining the latter, an isolation trench is filled with an electrically conductive trench filling layer. The isolation trench connects to the first doping regions adjoining the second contact for the purpose of realizing a buried contact bypass line.
    Type: Grant
    Filed: November 14, 2005
    Date of Patent: August 28, 2007
    Assignee: Infineon Technologies AG
    Inventors: Ronald Kakoschke, Franz Schuler, Georg Tempel
  • Patent number: 7229876
    Abstract: A method of fabricating a memory device is described. During the process of forming the memory cell area and the periphery area of a semiconductor device a photoresist layer is formed on the memory cell area before the spacers are formed on the sidewalls of the gates. Therefore, the memory cell area is prevented from being damaged to mitigate the leakage current problem during the process of forming spacers in the periphery circuit area.
    Type: Grant
    Filed: May 25, 2005
    Date of Patent: June 12, 2007
    Assignee: Macronix International Co., Ltd.
    Inventors: Kent Kuohua Chang, Jongoh Kim, Yider Wu
  • Patent number: 7196370
    Abstract: A nonvolatile semiconductor memory device includes a memory cell array region including a plurality of NAND cells, each NAND cell having a plurality of memory cell transistors, and which are arranged in series, and a plurality of select transistors. A trench-type isolation region is formed between columns in the array of the NAND columns. The trench-type isolation region is formed in self-alignment with end portions of the channel region and a floating gate of the memory cell transistor, formed in self-alignment with the end portion of a channel region of the select transistor, and has a recess formed in at least the upper surface between the floating gates of the memory cell transistors.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: March 27, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Naoki Kai, Hiroaki Hazama, Hirohisa Iizuka
  • Patent number: 7192833
    Abstract: A flash memory device including a tunnel dielectric layer, a floating gate layer, an interlayer dielectric layer and at least two mold layers formed on a semiconductor substrate and a method of manufacturing the same are provided. By sequentially patterning the layers, a first mold layer pattern and a floating gate layer pattern aligned with each other are formed. Exposed portions of side surfaces of the first mold layer pattern are selectively lateral etched, thereby forming a first mold layer second pattern having grooves in its sidewalls. A gate dielectric layer is formed on the semiconductor substrate adjacent to the floating gate layer pattern. A control gate having a width that is determined by the grooves in the second mold layer pattern is formed on the gate dielectric layer. By removing the first mold layer second pattern, spacers are formed on sidewalls of the control gate.
    Type: Grant
    Filed: December 29, 2004
    Date of Patent: March 20, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Hwang Kim, Yong-Suk Choi, Seung-Beom Yoon, Yong-Tae Kim, Young-Sam Park
  • Patent number: 7189618
    Abstract: Disclosed are a semiconductor device and a method of manufacturing the same. According to the present invention, the transistor of the semiconductor device comprises a stack type gate in which a tunnel oxide film, a floating gate, a dielectric film and a control gate are sequentially stacked on a semiconductor substrate, a gate oxide film that is formed on the semiconductor substrate below the floating gate with respect to the tunnel oxide film, wherein the gate oxide film is formed along the boundary of some of the bottom and side of the floating gate, and floating nitride films that are buried at gaps between the gate oxide film formed on the semiconductor substrate and the gate oxide film formed along the boundary of some of the bottom and side of the floating gate, wherein the floating nitride films serve as a trap center of a hot charge and store 1 bit charge. The transistor of the semiconductor device can operate as a 2-bit or 3-bit cell transistor.
    Type: Grant
    Filed: December 9, 2004
    Date of Patent: March 13, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Don Lee
  • Patent number: 7183162
    Abstract: A method of forming a microelectronic non-volatile memory cell, a memory cell formed according to the method, and a system including the memory cell.
    Type: Grant
    Filed: November 21, 2005
    Date of Patent: February 27, 2007
    Assignee: Intel Corporation
    Inventors: Steven R. Soss, Krishna Parat