Inspecting Printed Circuit Boards Patents (Class 382/147)
  • Patent number: 8923600
    Abstract: Various methods and systems for utilizing design data in combination with inspection data are provided. One computer-implemented method for binning defects detected on a wafer includes comparing portions of design data proximate positions of the defects in design data space. The method also includes determining if the design data in the portions is at least similar based on results of the comparing step. In addition, the method includes binning the defects in groups such that the portions of the design data proximate the positions of the defects in each of the groups are at least similar. The method further includes storing results of the binning step in a storage medium.
    Type: Grant
    Filed: August 3, 2009
    Date of Patent: December 30, 2014
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: Khurram Zafar, Sagar Kekare, Ellis Chang, Allen Park, Peter Rose
  • Patent number: 8903158
    Abstract: An inspection system determines, for each detected pattern defect, a defect inspection pattern area of predetermined dimensions containing the coordinates of the defect, then determines the clusters or cells whose reference points are located within the defect inspection pattern area. The system extracts the data of these clusters or cells from design pattern data read from a first magnetic disk unit. The system then generates an output file containing the extracted data. The output file is then converted into the same format as the input design pattern data or into OASIS format, before it is output to a second magnetic disk unit. The extracted pattern data specifying the clusters or cells within each defect inspection pattern area can be output from the mask inspection system to external systems.
    Type: Grant
    Filed: August 16, 2010
    Date of Patent: December 2, 2014
    Assignee: NuFlare Technology, Inc.
    Inventors: Hideo Tsuchiya, Takafumi Inoue
  • Patent number: 8904315
    Abstract: There is provided a method comprising: examining the location of one or more feature(s) of the one or more component(s) of a circuit arrangement to determine the displacement of the location of said one or more associated communication contact(s) with respect to a designed location for the communication contact(s), and providing corrective communication path layout data of said circuit arrangement based upon the said displacement(s).
    Type: Grant
    Filed: December 17, 2007
    Date of Patent: December 2, 2014
    Assignee: Nokia Corporation
    Inventors: Risto Rönkkä, Tapio Manninen, Kalle Rutanen, Pekka Ruusuvuori, Heikki Huttunen
  • Patent number: 8878925
    Abstract: With respect to a charged particle beam device, the step size of focal point measure for executing autofocusing is optimized to a value that is optimal with respect to the spread of an approximation curve for a focal point measure distribution. The step size of focal point measure for executing autofocusing is corrected using an image feature obtained based on a layout image derived from an image obtained at a first magnification or from design data. Autofocusing is executed based on the obtained step size to carry out observation, measurement, or to image the sample under inspection.
    Type: Grant
    Filed: November 8, 2010
    Date of Patent: November 4, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Naoma Ban, Kenji Obara
  • Patent number: 8855403
    Abstract: A method of discriminating a region and a method of measuring a three dimensional shape are disclosed. The method includes irradiating light onto a substrate having a measurement target formed thereon to capture an image by receiving light reflected by the substrate, setting up an object region in which the measurement target is disposed and a ground region corresponding to a remaining region in an inspection region of the image, irradiating a grating patterned light onto the substrate having the measurement target formed thereon to capture a patterned image by receiving the grating patterned light reflected by the substrate, and obtaining height of each position in the inspection region by using the patterned image to establish a ground height with respect to the measurement target with a height of the ground region.
    Type: Grant
    Filed: April 14, 2011
    Date of Patent: October 7, 2014
    Assignee: KOH Young Technology Inc.
    Inventor: Dal-An Kwon
  • Patent number: 8855401
    Abstract: A method for measuring a dimension of a device includes receiving an image of a portion of the device, receiving a first offset value and a second offset value, processing the image to define a least one graph of a line of pixels, the at least one graph including the brightness level of each pixel in a line of pixels, identifying a location of a first peak and a second peak in the graph, defining a first exclusion area boundary, defining a second exclusion area boundary, setting the brightness level of the pixels between the first exclusion area boundary and the second exclusion area boundary to zero, identifying a first portion of the feature of interest and a second portion of the feature of interest, and measuring a distance between the first portion of the feature of interest and the second portion of the feature of interest.
    Type: Grant
    Filed: October 29, 2010
    Date of Patent: October 7, 2014
    Assignee: International Business Machines Corporation
    Inventors: Charles N. Archie, Anastasios A. Katsetos, Eric P. Solecky, Georgios A. Vakas
  • Patent number: 8849008
    Abstract: A technique for determining a set of calibration parameters for use in a model of a photo-lithographic process is described. In this calibration technique, images of a test pattern that was produced using the photo-lithographic process are used to determine corresponding sets of calibration parameters. These images are associated with at least three different focal planes in an optical system, such as a photo-lithographic system that implements the photo-lithographic process. Moreover, an interpolation function is determined using the sets of calibration parameters. This interpolation function can be used to determine calibration parameters at an arbitrary focal plane in the photo-lithographic system for use in simulations of the photolithographic process, where the set of calibration parameters are used in a set of transmission cross coefficients in the model of the photo-lithographic process.
    Type: Grant
    Filed: September 10, 2012
    Date of Patent: September 30, 2014
    Assignee: Synopsys, Inc.
    Inventors: Xin Zhou, Yaogang Lian, Robert E. Gleason
  • Publication number: 20140270472
    Abstract: A method substantially as shown and described in the detailed description and/or drawings and/or elsewhere herein. A device substantially as shown and described in the detailed description and/or drawings and/or elsewhere herein.
    Type: Application
    Filed: March 12, 2013
    Publication date: September 18, 2014
    Inventors: Roderick A. Hyde, Royce A. Levien, Richard T. Lord, Robert W. Lord, Mark A. Malamud, Tony S. Pan, Lowell L. Wood, JR.
  • Publication number: 20140270473
    Abstract: A pick-and-place machine and method includes use of a passive component feeder cartridge including a feeder gear. Rotation of the feeder gear causes a component-bearing tape to be fed through the feeder cartridge. A pickup head includes a vacuum nozzle to pick up the components from the tape and a rack gear to engage and drive the feeder gear of the feeder cartridge via translational motion of the pickup head when operatively disposed with respect to a selected feeder cartridge.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Inventor: JOHN S. YOUNGQUIST
  • Patent number: 8837807
    Abstract: A Method for inspecting flat objects, especially wafers, with an object surface, comprises the steps of: scanning a digital image with a plurality of image points of said object surface with color- or grey values for each of said image points; detecting defects on said object surface by comparing said scanned digital image to a digital reference image; defining and selecting corresponding portions in said scanned digital image and in the digital reference image; determining a representative color- or grey value for each of said selected portions; calculating a compare value from said representative color- or grey value of said scanned digital image of a portion and a representative color- or grey value of said digital reference image of the same portion; and correcting each image point of said scanned digital image with a correction value determined from said compare value of step (e).
    Type: Grant
    Filed: November 4, 2011
    Date of Patent: September 16, 2014
    Assignee: HSEB Dresden GmbH
    Inventors: Bernd Srocka, Marko Doring
  • Patent number: 8837808
    Abstract: Disclosed is a method of final defect inspection, including preparing a final defect inspection apparatus which includes a host device, a microscope, a bar code scanner, a support tool and a signal transceiver, using the host device to calibrate an original point in an outline of the circuit board based on a plurality of original mark positions generated by an electromagnetic pen, using the electromagnetic pen to mark each defect position on the inspection region on the circuit board where any defect is found through the microscope, using the signal transceiver to receive and transmit each defect position to the host device, and using the host device to calculate the coordinate of a scrap region based on a relative position between the original point and each defect position so as to generate a shipment file.
    Type: Grant
    Filed: December 20, 2012
    Date of Patent: September 16, 2014
    Assignee: Kinsus Interconnect Technology Corp.
    Inventors: Chia-Chi Lo, Cheng-Hsiung Yang, Jun-Chung Hsu
  • Publication number: 20140218642
    Abstract: The conductive sheet according to the present invention includes a base and a conductive portion that is formed on at least one main surface of the base and is formed from a plurality of thin metal wires, where a mesh pattern in which different mesh shapes are arrayed in plan view is formed by the conductive portion, and the mesh pattern is configured such that, in a power spectrum of a two-dimensional distribution of centroid positions of the mesh shapes, an average intensity on a higher spatial frequency band side than a predetermined spatial frequency is larger than an average intensity on a lower spatial frequency band side than the predetermined spatial frequency. The conductive sheet can reduce the granular feeling of noise due to pattern which the conductive sheet has and greatly improve the visibility of an object for observation.
    Type: Application
    Filed: April 4, 2014
    Publication date: August 7, 2014
    Applicant: FUJIFILM Corporation
    Inventor: Kazuchika IWAMI
  • Publication number: 20140219542
    Abstract: A method of generating height information in a circuit board inspection apparatus, first, obtaining a first image corresponding to a first area and a second image corresponding to a second area with partially overlapped to the first area of a test board. Next, the first image and the second image are matched based on the overlapped area of the first and second area. Sequentially, a relative positional relationship of the first and second images is obtained from the result of matching. Sequentially, a combined grid image is generated by combining the first grid image and the second grid image based on the relative positioning relationship, wherein the first and second grid images are obtained by irradiating a grid patterned light toward a measurement object formed on the board inspection apparatus. Therefore, it is possible to generate an exact height information.
    Type: Application
    Filed: December 28, 2011
    Publication date: August 7, 2014
    Applicant: KOH YOUNG TECHNOLOGY INC
    Inventors: Seung-Hyun Lee, Hyun-ki Lee, Ming-Young Kim, Jea-Hong Lee
  • Patent number: 8781207
    Abstract: A computing device reads a reference image and a real-time of a printed circuit board (PCB), determines feature points and feature information of the feature points in the reference image; and creates two 1×N matrices based on the feature points. Furthermore, a mapping matrix is determined based on the two 1×N matrices. The device determines matching points in the real-time image based on coordinates of base points in the reference image and the mapping matrix, determines a matching region the real-time image based on the matching points, and determines an angle between the matching region and an X-axis of a coordinate system. If the angle does not equal zero, the device determines that the real-time is tilted, and corrects the real-time image to obtain a corrected image by taking a center of the real-time image as a turning pivot to rotate the real-time image until the angle equals zero.
    Type: Grant
    Filed: August 17, 2011
    Date of Patent: July 15, 2014
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventor: Wen-Wu Wu
  • Publication number: 20140177939
    Abstract: Disclosed is a method of final defect inspection, including preparing a final defect inspection apparatus which includes a host device, a microscope, a bar code scanner, a support tool and a signal transceiver, using the host device to calibrate an original point in an outline of the circuit board based on a plurality of original mark positions generated by an electromagnetic pen, using the electromagnetic pen to mark each defect position on the inspection region on the circuit board where any defect is found through the microscope, using the signal transceiver to receive and transmit each defect position to the host device, and using the host device to calculate the coordinate of a scrap region based on a relative position between the original point and each defect position so as to generate a shipment file.
    Type: Application
    Filed: December 20, 2012
    Publication date: June 26, 2014
    Applicant: KINSUS INTERCONNECT TECHNOLOGY CORP.
    Inventors: Chia-Chi Lo, Cheng-Hsiung Yang, Jun-Chung Hsu
  • Publication number: 20140160271
    Abstract: A detection system and method for detecting if of a plurality of electrical elements on a printed circuit board (PCB) is loaded inversely are provided. The system includes a setting module to receive a standard image of a standard PCB and select one or more of the electrical elements from the standard image by a user. A storage module stores a reference image. A capturing module captures an image of the PCB to be detected. A comparison module compares the image with the reference image to determine if any of the electrical elements having polarities is inversely loaded. A processing module reads a comparison result of the comparison module and makes a determination.
    Type: Application
    Filed: November 21, 2013
    Publication date: June 12, 2014
    Applicant: HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: SZU-LUN HUANG, CHIH-HUANG WU
  • Patent number: 8731852
    Abstract: A method for the evaluative analysis of a photovoltaic layer system is described. The method applies to a semiconductor layer forming a pn junction: an electric current is generated in the layer system; a spatially resolved thermal image of the surface of the layer system is generated; an intensity distribution of the thermal radiation relative to the respective number of pixels with the same intensity value is determined; an intensity mean/median from the intensity distribution is determined; an intensity interval based on a specifiable measure for a scattering of the intensity distribution is determined; a characteristic number is determined; and the characteristic number or a calculation value based thereon is compared with a specifiable reference characteristic number.
    Type: Grant
    Filed: September 28, 2011
    Date of Patent: May 20, 2014
    Assignee: Saint-Gobain Glass France
    Inventor: Thomas Dalibor
  • Patent number: 8731069
    Abstract: A remote display system having a server to transmit moving image content data to a network, and a display terminal to receive and reproduce/display the image data, transmits the image data to which an error resilience code is attached, displays the image content together with other display elements on the display terminal, determines a display shape of the image content in the display, and changes an error resilience method in accordance with a result of the display shape determination.
    Type: Grant
    Filed: August 15, 2006
    Date of Patent: May 20, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventor: Shinichi Sunakawa
  • Publication number: 20140133735
    Abstract: A method of inspecting leads of an electric device, which is capable of improve reliability of inspection regardless of noises induced by regions near the lead. The method uses a height or a brightness of a shoulder region of the lead to inspect existence or nonexistence or a height or a brightness of a tip region of the lead to inspect fastening or unfastening. Therefore, reliability of inspection is improved in comparison with a conventional inspection using colors of lead region.
    Type: Application
    Filed: November 6, 2013
    Publication date: May 15, 2014
    Applicant: KOH YOUNG TECHNOLOGY INC.
    Inventor: Joong-Ki JEONG
  • Patent number: 8724883
    Abstract: An inspection method for inspecting a device mounted on a substrate, includes generating a shape template of the device, acquiring height information of each pixel by projecting grating pattern light onto the substrate through a projecting section, generating a contrast map corresponding to the height information of each pixel, and comparing the contrast map with the shape template. Thus, a measurement object may be exactly extracted.
    Type: Grant
    Filed: July 5, 2013
    Date of Patent: May 13, 2014
    Assignee: Koh Young Technology Inc.
    Inventors: Joong-Ki Jeong, Yu-Jin Lee, Seung-Jun Lee
  • Publication number: 20140119635
    Abstract: An apparatus, a method, and a computer-program product for identifying a location of abnormal emission on integrated circuits are disclosed. The location of abnormal emission on integrated circuits is identified by measuring an emission intensity for each of a plurality of voltages for each pixel in an emission image of an integrated circuit; generating a plot of the measured emission intensities as a function of the plurality of voltages for each area in the emission image of the integrated circuit; determining differences in emission intensities of the generated plot for a selected area compared to a plot for a corresponding area known to have no abnormal emission; and identifying location of abnormal emission corresponding to the selected area the detected difference of which exceeds a pre-determined threshold.
    Type: Application
    Filed: November 27, 2012
    Publication date: May 1, 2014
    Applicant: ENTROPIC COMMUNICATIONS, INC
    Inventor: Joseph M. Patterson
  • Publication number: 20140119636
    Abstract: Systems and methods for identifying defective individual packaged modules are presented. A Printed Circuit Board (PCB) having a set of individual module substrates can be received. Further, capturing an image of the PCB and loading a PCB recipe associated with the PCB can be performed. The image of the PCB can be captured by an image capture module that can include one or more cameras. For each individual module substrate, a portion of the image corresponding to the individual module substrate can be compared to the PCB recipe. In addition, it can be determined based on the comparison whether the individual module substrate matches the PCB recipe within a degree of tolerance. In response to determining that the individual module substrate does not match the PCB recipe within the degree of tolerance, a location of the individual module substrate within a map of the PCB can be stored.
    Type: Application
    Filed: September 27, 2013
    Publication date: May 1, 2014
    Inventors: Carlos Fabian NAVA, Viviano ALMONTE
  • Publication number: 20140119637
    Abstract: Systems and methods for processing potentially defective individual packaged modules are presented. A Printed Circuit Board (PCB) that includes a set of individual module substrates can be received and an image of a first side of the PCB can be captured by an image capture module which can include one or more cameras. Based on the captured image, it can be determined whether the set of individual module substrates includes previously identified (e.g., inked) individual module substrates that correspond to potentially defective individual module substrates. In response to determining that the set of individual module substrates includes inked individual module substrates, a map of the inked individual module substrates can be created. Based on the map, locations corresponding to the inked individual module substrates can be marked with a laser on a second side of the PCB.
    Type: Application
    Filed: September 27, 2013
    Publication date: May 1, 2014
    Inventors: Carlos Fabian NAVA, Viviano ALMONTE
  • Patent number: 8670605
    Abstract: A disclosed identification method of identifying a data point distribution area on a coordinate plane includes dividing an area on the coordinate plane into divided areas so that the divided areas radiate from a division center point; selecting, in each of the divided areas, from among the data points in the divided area, a data point having the greatest distance from the division center point as a representative point; determining whether there is an overlapping area where a distribution representative point area overlaps a determination area; and determining, when there is the overlapping area, that the data group to be determined is a relevant data group.
    Type: Grant
    Filed: March 10, 2011
    Date of Patent: March 11, 2014
    Assignee: Ricoh Company, Ltd.
    Inventor: Hirokazu Yanai
  • Patent number: 8655049
    Abstract: A disclosed method of identifying a data point distribution area having data points on a coordinate plane includes dividing a coordinate plane area into plural divided areas using lines; in each divided area, selecting outermost data point data as representative points, and connecting the selected representative points to define a distribution area; comparing the area with a reference area to determine an overlapping area; and determining that the distribution area is a relevant area based on the existence of the overlapping area.
    Type: Grant
    Filed: March 9, 2011
    Date of Patent: February 18, 2014
    Assignee: Ricoh Company, Ltd.
    Inventor: Hirokazu Yanai
  • Patent number: 8654412
    Abstract: A component imaging method includes: an imaging preparation step of obtaining, for each of the plurality of components, an optimum lighting intensity; a component imaging step of using a line sensor and a lighting device, to integrally move the components relative to this line sensor, with the components being aligned in a main scanning direction of the line sensor, and to acquire images of the components while switching a lighting intensity of the lighting device sequentially to the optimum lighting intensity of each of the components for each imaging line; and an image processing step of compensating a line image, which is imaged at a lighting intensity other than the optimum lighting intensities, on the basis of a ratio of the lighting intensity at which the line image is obtained and the optimum lighting intensity of each of the components of the obtained component images, for the component image.
    Type: Grant
    Filed: June 8, 2012
    Date of Patent: February 18, 2014
    Assignee: Yamaha Hatsudoki Kabushiki Kaisha
    Inventor: Yasuaki Aoshima
  • Patent number: 8611639
    Abstract: Various methods, carrier media, and systems for monitoring a characteristic of a specimen are provided. One computer-implemented method for monitoring a characteristic of a specimen includes determining a property of individual pixels on the specimen using output generated by inspecting the specimen with an inspection system. The method also includes determining a characteristic of individual regions on the specimen using the properties of the individual pixels in the individual regions. The method further includes monitoring the characteristic of the specimen based on the characteristics of the individual regions.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: December 17, 2013
    Assignee: KLA-Tencor Technologies Corp
    Inventors: Ashok Kulkarni, Chien-Huei (Adam) Chen, Cecelia Campochiaro, Richard Wallingford, Yong Zhang, Brian Duffy
  • Patent number: 8605986
    Abstract: A burr detection apparatus includes an imaging unit and a detection unit. The imaging unit captures an original image of a stencil. The original comprises black and white pixels. The detection includes a CPU and a memory. The CPU includes an extracting module, a deciding module, a counting module, and a comparing module. The extracting module obtains a matrix image with N*N pixels, wherein N is an odd number. The deciding module decides whether the center pixel of the matrix image is a black pixel. The counting module obtains a black pixel total counted among marginal pixels which position in the margin of the matrix image in a predetermined rule. The comparing module compares the black pixel total with a predetermined threshold number, and determines that the part of the stencil corresponding to the matrix image has a burr when the black pixel total is less than the threshold number.
    Type: Grant
    Filed: February 21, 2011
    Date of Patent: December 10, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Pei-Chong Tang
  • Publication number: 20130315468
    Abstract: Although there has been a method for evaluating pattern shapes of electronic devices by using, as a reference pattern, design data or a non-defective pattern, the conventional method has a problem that the pattern shape cannot be evaluated with high accuracy because of the difficulty in defining an exact shape suitable for the manufacturing conditions of the electronic devices. The present invention provides a shape evaluation method for circuit patterns of electronic devices, the method including a means for generating contour distribution data of at least two circuit patterns from contour data sets on the circuit patterns; a means for generating a reference pattern used for the pattern shape evaluation, from the contour distribution data; and a means for evaluating the pattern shape by comparing each evaluation target pattern with the reference pattern.
    Type: Application
    Filed: August 5, 2013
    Publication date: November 28, 2013
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Yasutaka TOYODA, Hideo SAKAI, Ryoichi MATSUOKA
  • Patent number: 8588511
    Abstract: An image of a semiconductor interconnection pad is analyzed to determine a geometric description of the zone regions of a multiple zone semiconductor interconnection pad. Edge detection machine vision tools are used to extract features in the image. The extracted features are analyzed to derive geometric descriptions of the zone regions of the pad, that are applied in semiconductor device inspection, fabrication, and assembly operations.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: November 19, 2013
    Assignee: Cognex Corporation
    Inventors: Gang Liu, Aaron S. Wallack, David J. Michael
  • Patent number: 8588510
    Abstract: When a detection-target subject is imaged with an image pickup device having line-defect pixels, the detection-target subject is imaged, with the image pickup device or the detection-target subject rotated at a predetermined angle so that the edge of one side of the detection-target subject is not parallel to each of horizontal and vertical scanning lines of the image pickup device, and a gray-scale image is captured by a control apparatus. In the gray-scale image, the luminance of each of the line-defect pixels is corrected by interpolation with luminances of pixels adjacent to both sides of the line-defect pixel. The gray-scale image is subjected to sub-pixel processing to detect the edge of the detection-target subject. When the detection-target subject is a component in a rectangular shape, rotation is made so that four sides are not parallel to each of the horizontal and vertical scanning lines of the image pickup device.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: November 19, 2013
    Assignee: Fuji Machine Mfg. Co., Ltd.
    Inventor: Kazumi Hoshikawa
  • Publication number: 20130294679
    Abstract: An inspection method for inspecting a device mounted on a substrate, includes generating a shape template of the device, acquiring height information of each pixel by projecting grating pattern light onto the substrate through a projecting section, generating a contrast map corresponding to the height information of each pixel, and comparing the contrast map with the shape template. Thus, a measurement object may be exactly extracted.
    Type: Application
    Filed: July 5, 2013
    Publication date: November 7, 2013
    Inventors: Joong-Ki JEONG, Yu-Jin Lee, Seung-Jun Lee
  • Patent number: 8577124
    Abstract: A pattern inspection apparatus can be provided, for example, in a scanning electron microscope system. When patterns of a plurality of layers are included in a SEM image, the apparatus separates the patterns according to each layer by using design data of the plurality of layers corresponding to the patterns. Consequently, the apparatus can realize inspection with use of only the pattern of a target layer to be inspected, pattern inspection differently for different layers, or detection of a positional offset between the layers.
    Type: Grant
    Filed: January 5, 2012
    Date of Patent: November 5, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yasutaka Toyoda, Akiyuki Sugiyama, Ryoichi Matsuoka, Takumichi Sutani, Hidemitsu Naya
  • Patent number: 8577125
    Abstract: The present invention provides a technique to generate an accurate connected image even in a monotonous pattern using design data as constrained conditions. A reference position is roughly determined through matching between the design data and image data, matching between neighboring images is performed using the amount of mismatch from the design data as a searching range and a connected image is generated at high speed and accurately.
    Type: Grant
    Filed: December 24, 2008
    Date of Patent: November 5, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Shinichi Shinoda, Yasutaka Toyoda, Ryoichi Matsuoka
  • Patent number: 8559697
    Abstract: A mask inspection system includes irradiation means for irradiating a sample with an electron beam, electron detection means for detecting a quantity of electrons generated from the sample, image processing means, storage means, and control means for determining divided areas in such a way that divided images adjacent to each other overlap with each other, and acquiring the divided images of the respective divided areas. The control means extracts two divided images adjacent to each other in a predetermined sequence, then detects an image of a same pattern formation area included in an overlap area, and determines the detected image to be a combination reference image. The control means then combines the two divided images adjacent to each other on the basis of the combination reference image to thereby form an entire SEM image of the observed area.
    Type: Grant
    Filed: April 11, 2011
    Date of Patent: October 15, 2013
    Assignee: Advantest Corp.
    Inventors: Tsutomu Murakawa, Yoshiaki Ogiso
  • Patent number: 8548224
    Abstract: An inspection method for inspecting a device mounted on a substrate, includes generating a shape template of the device, acquiring height information of each pixel by projecting grating pattern light onto the substrate through a projecting section, generating a contrast map corresponding to the height information of each pixel, and comparing the contrast map with the shape template. Thus, a measurement object may be exactly extracted.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: October 1, 2013
    Assignee: Koh Young Technology Inc.
    Inventors: Joong-Ki Jeong, Yu-Jin Lee, Seung-Jun Lee
  • Patent number: 8538165
    Abstract: A data memory storing Gerber data containing closed area information of a work; a display displaying a pattern image based on the closed area information of the Gerber data; a detection specification information display program displaying on the display a detection tool specifying a location of edge to be detected, a detection direction and detection length, by superimposing on the pattern image; an image capturing program and an image capturer capturing an image of an area corresponding to the detection tool of the work; an edge detection program performing an edge detection of the location of the edge to be detected with respect to data of a captured image; and a condition determination program determining a light-dark change condition indicating whether an image is changing from a light section to a dark section or from a dark section to a light section along a detection direction.
    Type: Grant
    Filed: April 21, 2011
    Date of Patent: September 17, 2013
    Assignee: Mitutoyo Corporation
    Inventors: Tsukasa Kojima, Kozo Ariga, Jyota Miyakura
  • Patent number: 8532365
    Abstract: A pattern detection apparatus inputs an image of an object including repetitive patterns, estimates a period of the repetitive patterns in the input object, and generates a reference image based on images divided by the estimated period. Then, the pattern detection apparatus compares the reference image and the image of the object, and detects the positions of individual patterns in the repetitive patterns based on the comparison result.
    Type: Grant
    Filed: September 7, 2010
    Date of Patent: September 10, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventor: Shunta Tate
  • Patent number: 8526707
    Abstract: In a method of inspecting a mask, an image of a pattern on the mask may be obtained. A histogram of the image by grey levels may be obtained. The histogram may be compared with information of the pattern to detect a defect of the mask. Thus, reliability of defect detection in the mask may be remarkably improved.
    Type: Grant
    Filed: December 15, 2010
    Date of Patent: September 3, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yoonna Oh, Jae-Pil Shin, Jin Choi, Moon-Hyun Yoo, Jong-Bae Lee
  • Patent number: 8520077
    Abstract: A color-unevenness inspection apparatus includes: an image pickup section picking up an image of an inspection target for a color-unevenness inspection; an image generation section generating an uneven-color image by determining one or more uneven-color regions existing in the picked-up image of the inspection target obtained by the image pickup section, and by classifying unit regions included in each of the uneven-color regions into a plurality of color groups; a calculation section calculating, on the uneven-color regions in the uneven-color image, an evaluation parameter to be used in the color-unevenness inspection; a correction section making a correction to the calculated evaluation parameter in consideration of a difference of color-unevenness visibility between the color groups; and an inspection section performing the color-unevenness inspection, based on a resultant evaluation parameter obtained by the correction.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: August 27, 2013
    Assignee: Sony Corporation
    Inventors: Kunihiko Nagamine, Satoshi Tomioka
  • Patent number: 8515153
    Abstract: A scanning electron microscope comprises an image processing system for carrying out a pattern matching between a first image and a second image. The image processing system comprises: a paint-divided image generator for generating a paint divided image based on the first image; a gravity point distribution image generator for carrying out a smoothing process of the paint divided image and generating a gravity point distribution image; an edge line segment group generation unit for generating a group of edge line segments based on the second image; a matching score calculation unit for calculating a matching score based on the gravity point distribution image and the group of edge line segments; and a maximum score position detection unit for detecting a position where the matching score becomes the maximum.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: August 20, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akiyuki Sugiyama, Hiroyuki Shindo
  • Patent number: 8498471
    Abstract: A method of identifying a wafer serial number is provided. First, a wafer having a wafer serial number is provided. Second, an identification procedure is carried out to identify the wafer serial number by means of multiple identification recipes thereby obtaining multiple digit results which correspond to the multiple identification recipes and a specific digit in the wafer serial number. The multiple digit results include at least two of a successful result and an unsuccessful result. Then, the wafer serial number is determined in accordance with the multiple digit results.
    Type: Grant
    Filed: April 9, 2010
    Date of Patent: July 30, 2013
    Assignee: Inotera Memories, Inc.
    Inventors: Wei-Chin Chen, Chien-Ming Li
  • Patent number: 8472695
    Abstract: A method of analyzing of a semiconductor integrated circuit includes inspecting a physical defect in a semiconductor wafer, subjecting the semiconductor integrated circuit chip to a logic test and extracting a malfunctioning chip, analyzing a detected signal observed from the malfunctioning chip by an analyzer, obtaining the layer and coordinates of a circuit related the detected signal, collating the physical defect with the circuit, and identifying the physical defect associated with the circuit. The layer and coordinates of the circuit is extracted using design data. An inspection step identifying information is collated with the layer of the circuit, and an in-chip coordinates of the physical defect is collated with the coordinated of the circuit.
    Type: Grant
    Filed: July 23, 2009
    Date of Patent: June 25, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Masafumi Nikaido
  • Patent number: 8467592
    Abstract: Embodiments described herein are directed to detecting and/or measuring distortions of substrate media that can occur during a printing process. The distortion can be detected and/or measured using a composite image generated from a reference image having a first periodic pattern and print image, disposed on a test substrate media, having a second periodic pattern. The first and second periodic patterns are specified so that the composite image includes a moiré pattern having moiré fringes resulting from interference between the first periodic pattern associated with the reference image and the second periodic pattern associated with the print image. The moiré fringes can be used to detect and calculate an amount of distortion of the test substrate media.
    Type: Grant
    Filed: December 23, 2009
    Date of Patent: June 18, 2013
    Assignee: Xerox Corporation
    Inventors: Shen-ge Wang, Beilei Xu, Robert P. Loce
  • Patent number: 8457411
    Abstract: The position of an edge of a marker structure in an image of the marker structure is determined with subpixel accuracy. A discrete intensity profile of the edge, having profile pixels, is derived from the image pixels, and a continuous profile function of the edge is determined based on the profile pixels. Profile pixels whose intensity values are near an intensity threshold value are selected as evaluation pixels. Based on the evaluation pixels, a curve of continuous intensity is calculated. A position coordinate at which the intensity value of the continuous intensity curve matches the threshold value is selected as a first position coordinate, and the distance is determined between the first position coordinate and the position coordinate of the evaluation pixel that, from among the evaluation pixels previously selected, has the closest intensity value to the threshold value.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: June 4, 2013
    Assignee: Carl Zeiss SMS GmbH
    Inventor: Michael Arnz
  • Publication number: 20130136335
    Abstract: Although there has been a method for evaluating pattern shapes of electronic devices by using, as a reference pattern, design data or a non-defective pattern, the conventional method has a problem that the pattern shape cannot be evaluated with high accuracy because of the difficulty in defining an exact shape suitable for the manufacturing conditions of the electronic devices. The present invention provides a shape evaluation method for circuit patterns of electronic devices, the method including a means for generating contour distribution data of at least two circuit patterns from contour data sets on the circuit patterns; a means for generating a reference pattern used for the pattern shape evaluation, from the contour distribution data; and a means for evaluating the pattern shape by comparing each evaluation target pattern with the reference pattern.
    Type: Application
    Filed: January 25, 2013
    Publication date: May 30, 2013
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventor: Hitachi High-Technologies Corporation
  • Patent number: 8442299
    Abstract: One exemplary aspect of this invention pertains to a method to evaluate an image processing algorithm. The method includes varying a parameter of a model of an imaging system and, for each variation of the parameter, calculating with a data processor a corresponding image of a sample; applying an image processing algorithm to the calculated corresponding images of the sample; and determining an ability of the image processing algorithm to detect the variation in the parameter.
    Type: Grant
    Filed: November 10, 2009
    Date of Patent: May 14, 2013
    Assignee: International Business Machines Corporation
    Inventors: Peter P. Longo, Alfred Wagner
  • Patent number: 8428338
    Abstract: A method of determining a solder paste height of solder paste printed on a circuit board, the method including obtaining a two-dimensional image of the circuit board which is captured from above a solder printed surface, and determining the solder paste height corresponding to a pixel value of each of pixels of the two-dimensional image, based on height information which defines a relationship between the pixel value and the solder paste height, the pixel value being a value representing at least one of luminance of red in a RGB color model, luminance of green in the RGB color model, luminance of blue in the RGB color model, hue in a HSI color model, saturation in the HSI color model, and intensity in the HSI color model.
    Type: Grant
    Filed: February 20, 2012
    Date of Patent: April 23, 2013
    Assignee: Panasonic Corporation
    Inventors: Masanori Ikeda, Michinori Tomomatsu, Masahiro Taniguchi, Yousuke Hassaku, Hiroshi Okamura
  • Patent number: 8428336
    Abstract: A method for classifying defects, including: calculating feature quantifies of defect image which is obtained by imaging a defect on a sample; classifying the defect image into a classified category by using information on the calculated feature quantities; displaying the classified defect image in a region on a display screen which is defined to the classified category; adding information on the classified category to the displayed defect image; transferring the displayed defect image which is added the information on the classified category to one of the other categories and displaying the transferred defect image in a region on the display screen which is defined to the one of the other categories; and changing information on the category.
    Type: Grant
    Filed: May 11, 2006
    Date of Patent: April 23, 2013
    Assignee: Hitachi, Ltd.
    Inventors: Yoko Ikeda, Junko Konishi, Hisafumi Iwata, Yuji Takagi, Kenji Obara, Ryo Nakagaki, Seiji Isogai, Yasuhiko Ozawa
  • Patent number: 8421803
    Abstract: This invention facilitates monitoring operation for checking whether or not quality of a substrate deteriorates as well as operation for identifying a cause of deterioration in quality. Identification information of constituent elements related to measurement target sections (pads) on a component-mounted substrate is arranged into hierarchal structure data. A first axis is arranged with the measurement target sections associated with this arrangement. A second axis is arranged with information (identification information of lots and squeegees) representing production conditions of the substrates according to an order of the substrates being processed. A two-dimensional area defined by the first axis and the second axis is set. A color map is generated, in which measured data of the measurement target sections on the substrates are arranged in colors at corresponding positions within the two-dimensional area.
    Type: Grant
    Filed: January 22, 2010
    Date of Patent: April 16, 2013
    Assignee: Omron Corporation
    Inventors: Kazuto Kojitani, Keiji Otaka, Hiroyuki Mori