Inspecting Printed Circuit Boards Patents (Class 382/147)
  • Patent number: 7695876
    Abstract: A method for identifying process window signature patterns in a device area of a mask is disclosed. The signature patterns collectively provide a unique response to changes in a set of process condition parameters to the lithography process. The signature patterns enable monitoring of associated process condition parameters for signs of process drift, analyzing of the process condition parameters to determine which are limiting and affecting the chip yields, analyzing the changes in the process condition parameters to determine the corrections that should be fed back into the lithography process or forwarded to an etch process, identifying specific masks that do not transfer the intended pattern to wafers as intended, and identifying groups of masks that share common characteristics and behave in a similar manner with respect to changes in process condition parameters when transferring the pattern to the wafer.
    Type: Grant
    Filed: August 24, 2006
    Date of Patent: April 13, 2010
    Assignee: Brion Technologies, Inc.
    Inventors: Jun Ye, Moshe E. Preil, Xun Chen, Shauh-Teh Juang, James Wiley
  • Patent number: 7693323
    Abstract: A method for inspecting a substrate for defects, including: A method for inspecting a substrate for defects, the method including the steps of: (i) obtaining at least two wafer element detection signal; each wafer element detection signal reflects light scattered to a distinct direction; each wafer element detection signal having a wafer element detection value; (ii) calculating at least one wafer element attribute value in response to the at least two wafer element detection signals; retrieving at least one reference wafer element attribute value, each wafer element attribute value corresponding to a reference wafer element attribute value; and (iii) determining a relationship between the at least one reference wafer element attribute value, wafer element attribute value and at least one threshold to indicate a presence of a defect.
    Type: Grant
    Filed: March 12, 2002
    Date of Patent: April 6, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Evgeni Levin, Daniel Some, Mirta Perlman
  • Publication number: 20100080445
    Abstract: Improved techniques are disclosed for monitoring or sensing process variations in integrated circuit designs. Such techniques provide such improvements by constructing variability maps correlating leakage emission images to layout information. By way of example, a method for monitoring one or more manufacturing process variations associated with a device under test (e.g., integrated circuit) comprises the following steps. An emission image representing an energy emission associated with a leakage current of the device under test is obtained. The emission image is correlated with a layout of the device under test to form a cross emission image. Common structures on the cross emission image are selected and identified as regions of interest. One or more variability measures (e.g., figures of merit) are calculated based on the energy emissions associated with the regions of interest.
    Type: Application
    Filed: September 30, 2008
    Publication date: April 1, 2010
    Inventors: Stanislav Polonsky, Peilin Song, Franco Stellari, Alan J. Weger
  • Patent number: 7689029
    Abstract: An operation part in a pattern inspection apparatus includes a defect candidate image generator for generating a binary defect candidate image representing a defect candidate area in an inspection image by comparing the inspection image with a reference image, in an inspection image masking part the inspection image is masked with the defect candidate image to obtain a masked inspection image. In a feature value calculation part, an autocorrelation feature value is obtained from the masked inspection image, and outputted to a classifying part. The classifying part comprises a classifier outputting a classification result on the basis of the autocorrelation feature value and a classifier construction part for constructing the classifier by learning. It is thereby possible to easily perform the high accurate classification of defect candidate using the autocorrelation feature value which is hard to characterize as compared with geometric feature value or feature value representing density.
    Type: Grant
    Filed: September 27, 2005
    Date of Patent: March 30, 2010
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Hiroyuki Onishi, Hiroshi Asai, Hiroshi Ogi
  • Patent number: 7676078
    Abstract: An inspection method for an illumination optical system of an exposure tool includes coating a surface of an exposure target substrate with a resist film; placing a plurality of imaging components deviating from an optical conjugate plane of a surface of the resist film; generating a plurality of inspection patterns of the resist film having a plurality of openings, by projecting exposure beams output from a plurality of effective light sources onto the resist film via the imaging components; measuring one of the inspection patterns as a reference image, and processing the reference image so as to provide reference image data; and determining an abnormal inspection image by measuring inspection images of the inspection patterns and comparing a plurality of inspection image data provided by processing the inspection images with the reference image data.
    Type: Grant
    Filed: February 12, 2004
    Date of Patent: March 9, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kazuya Fukuhara
  • Patent number: 7664614
    Abstract: A method of inspecting defect of a mask is provided. In this method, a database for storing a plurality of virtual simulation models is created. The virtual simulation models are determined by a plurality of factors including an optical effect and a chemical effect during the transferring the pattern of a mask to the photoresist layer on a wafer. A mask defect image is acquired. A simulation contour of the mask defect image is generated from at least one virtual simulation model in the database. Next, the acceptability of the mask is determined.
    Type: Grant
    Filed: November 2, 2007
    Date of Patent: February 16, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Te-Hung Wu, Shih-Ming Yen, Chih-Hao Wu, Chuen-Huei Yang
  • Publication number: 20100027873
    Abstract: Problem to be Solved To efficiently perform a board appearance inspection with a simple structure. Solution A control unit 60 performs processing for comparing a picked-up image of a board P picked up by a camera 52 with standard image data stored in a memory unit 61 and judging whether the board is good or poor. When the board P is judged to be poor, an image of the board P is displayed on a liquid crystal monitor 4 in order to have an operator visually judge the board P. When the board P is judged to be good by the visual judgment, the picked-up image of the board P that is judged to be good is additionally stored in the memory unit 61 as the standard image data.
    Type: Application
    Filed: August 28, 2007
    Publication date: February 4, 2010
    Applicant: i-PULSE Kabushiki Kaisha
    Inventor: Yoshihisa Kakuda
  • Patent number: 7643665
    Abstract: The present invention involves a computationally efficient method of determining the locations of standard cells in an image of an IC layout. The initial step extracts and characterizes points of interest of the image. A coarse localization of possible standard cell locations is performed and is based on a comparison of the points of interest of an instance of an extracted standard cell and the remaining points of interest in the image. A more rigid comparison is made on the list of possible locations comprising a coarse match and a fine match. The coarse match results in a shortlist of possible locations. The fine match performs comparisons between the template and the shortlist. Further filtering is done to remove the effects of noise and texture variations and statistics on the results are generated to achieve the locations of the standard cells on the IC layout.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: January 5, 2010
    Assignee: Semiconductor Insights Inc.
    Inventors: Vyacheslav L. Zavadsky, Val Gont, Edward Keyes, Jason Abt, Stephen Begg
  • Patent number: 7636649
    Abstract: An optical metrology model for the structure is obtained. The optical metrology model comprising one or more profile parameters, one or more process parameters, and a dispersion. A dispersion function that relates the dispersion to at least one of the one or more process parameters is obtained. A simulated diffraction signal is generated using the optical metrology model and a value for the at least one of the process parameters and a value for the dispersion. The value for the dispersion is calculated using the value for the at least one of the process parameter and the dispersion function. A measured diffraction signal of the structure is obtained using an optical metrology tool. The measured diffraction signal is compared to the simulated diffraction signal to determine one or more profile parameters of the structure. The fabrication tool is controlled based on the determined one or more profile parameters of the structure.
    Type: Grant
    Filed: September 21, 2007
    Date of Patent: December 22, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Shifang Li, Hanyou Chu, Manuel Madriaga
  • Patent number: 7606410
    Abstract: A method of constructing an image reader module and the image reader are described. The image reader module includes two or more circuit boards in a stacked configuration. Corresponding notches for receiving supports are formed along adjacent edges of the boards, which also have electrical contact points at the edges of each board connected to circuits on the boards. The supports are mounted in the notches between the two or more circuit boards to structurally interconnect the boards. Each of the supports, which may be flex cables or conductive bus bars, have one or more electrical conductors that are electrically connected to the contact points on the boards to electrically interconnect the boards, whereby the connections between the electrical conductors and the contact points form test points for the module. The notches may be substantially rectangular to receive the flex cable or may be slots to receive a bus bar.
    Type: Grant
    Filed: January 26, 2009
    Date of Patent: October 20, 2009
    Assignee: Psion Teklogix Systems Inc.
    Inventors: Gregg R. Kricorissian, Tao Zhang
  • Patent number: 7598504
    Abstract: A writing error diagnosis method for a charged beam photolithography apparatus and a charged beam photolithography apparatus which can specify an error cause within a short period of time in occurrence of a pattern writing error are provided. The writing error diagnosis method for a charged beam photolithography apparatus is a writing error diagnosis method for a charged beam photolithography apparatus which irradiates a charged beam on a target object to write a desired pattern. Processing result data of a pattern writing circuit at a position where a pattern writing error occurs is collected after the pattern writing error occurs, and the collected processing result data of the pattern writing circuit is compared with correct data. The charged beam photolithography apparatus has means which realizes the diagnosis method.
    Type: Grant
    Filed: May 29, 2007
    Date of Patent: October 6, 2009
    Assignee: NuFlare Technology, Inc.
    Inventors: Hayato Kimura, Yujin Handa, Seiji Wake, Takuya Matsukawa, Seiichi Tsuchiya
  • Patent number: 7590279
    Abstract: A first imaging unit and a second imaging unit of an appearance inspection apparatus for inspecting a board scan one surface of the board by being moved relative to the board. A third imaging unit and a fourth imaging unit are provided opposite to the first imaging unit and the second imaging unit, sandwiching the board, and scan the other surface of the board by being moved relative to the board. A board transport motor moves the imaging units and the board relative to each other. Each of the imaging units completes scanning the board in a single step of movement for moving the board and the scanning units relative to each other.
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: September 15, 2009
    Assignee: Saki Corporation
    Inventor: Yoshihiro Akiyama
  • Patent number: 7583833
    Abstract: A method, apparatus, and a system for generating an index for storing data. A pattern associated with a first set of data is determined. The first set of data is stored. A determination is made as to whether the pattern associated with a second set of data corresponds to the pattern associated with the first set of data. An index associated with the first set of data is correlated to the second set of data in response to determining that the pattern associated with the second set of data corresponds to the pattern associated with the first set of data.
    Type: Grant
    Filed: January 27, 2006
    Date of Patent: September 1, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Michael G. McIntyre, Alex Bierwag, Charlie Reading, Alfredo V. Herrera
  • Patent number: 7580557
    Abstract: The present invention involves a computationally efficient method of determining the locations of standard cells in an image of an IC layout. The initial step extracts and characterizes points of interest of the image. A coarse localization of possible standard cell locations is performed and is based on a comparison of the points of interest of an instance of an extracted standard cell and the remaining points of interest in the image. A more rigid comparison is made on the list of possible locations comprising a coarse match and a fine match. The coarse match results in a shortlist of possible locations. The fine match performs comparisons between the template and the shortlist. Further filtering is done to remove the effects of noise and texture variations and statistics on the results are generated to achieve the locations of the standard cells on the IC layout.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: August 25, 2009
    Assignee: Semiconductor Insights Inc.
    Inventors: Vyacheslav L. Zavadsky, Val Gont, Edward Keyes, Jason Abt, Stephen Begg
  • Patent number: 7580558
    Abstract: A screen printing apparatus and method for printing deposits of material onto a workpiece, the apparatus comprising an inspection station for determining a positional relationship of features on upper and lower surfaces of the workpiece. The inspection station comprises an imaging system for determining a positional relationship of features on upper and lower surfaces of the workpiece. The imaging system comprises at least two spaced imaging units for imaging features on an upper surface of the workpiece and at least two spaced imaging units for imaging features on a lower surface of the workpiece. A processing unit determines a positional relationship of the imaged features on the upper and lower surfaces of the workpiece. A printing station prints deposits of material onto the workpiece. Also described are a transport mechanism and an alignment system.
    Type: Grant
    Filed: July 10, 2002
    Date of Patent: August 25, 2009
    Assignee: DEK International GmbH
    Inventors: Ian Patrick McEvoy, Frank Norman Squibb
  • Patent number: 7560940
    Abstract: A method and installation for analyzing an integrated circuit. The method includes, for a plurality of surface points of the integrated circuit, the following steps: applying a laser radiation, in one point of the surface of the integrated circuit; exciting the circuit; collecting the response of the circuit to the excitation; calculating the propagation time intervening between the excitation time and the response-collecting time; and creating an image of the integrated circuit showing a value representing the propagation time at each point of laser radiation application.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: July 14, 2009
    Assignee: Centre National d'Etudes Spatiales
    Inventors: Romain Desplats, Kevin Sanchez, Félix Beaudoin
  • Patent number: 7553678
    Abstract: A method for detecting semiconductor-manufacturing conditions includes providing a photomask with a plurality of pattern areas each having a plurality of test lines with different pitches, exposing a plurality of wafer with the photomask in different manufacturing conditions, measuring the critical dimensions of the plurality of pattern areas, generating a library of relationships between the pitches and the critical dimension of the pattern areas, exposing a test wafer in an unknown manufacturing condition, finding out a relationships between the pitches and the critical dimension of the pattern areas of the test wafer, searching for a most similar relationship in the library, and detecting a set of manufacturing parameters used to expose the test wafer.
    Type: Grant
    Filed: March 17, 2006
    Date of Patent: June 30, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Zhan Zhou, Jin Yu, Kai-Hung Alex See
  • Patent number: 7539338
    Abstract: Unidirectional light is radiated onto a bump-formation surface of an IC component to acquire a first overall image of the IC component, light is radiated onto the bump-formation surface in inclined directions to acquire a second overall image. First bump inspection images are respectively acquired from the first overall image, and second bump inspection images are respectively acquired from the second overall image. Then bump-formation positions are inspected based on the second bump inspection images, and degrees of crush of bump vertex portions are inspected based on the respective first bump inspection images. Hereby, bump inspection with high precision and efficiency is achieved.
    Type: Grant
    Filed: May 26, 2005
    Date of Patent: May 26, 2009
    Assignee: Panasonic Corporation
    Inventors: Takayuki Fukae, Yoichiro Ueda, Tetsushirou Torigoe
  • Publication number: 20090129664
    Abstract: A pattern inspection apparatus includes a stage configured to mount thereon a target workpiece to be inspected where patterns are formed, at least one sensor configured to move relatively to the stage and capture optical images of the target workpiece to be inspected, a first comparing unit configured to compare first pixel data of an optical image captured by one of the at least one sensor with first reference data at a position corresponding to a position of the first pixel data, and a second comparing unit configured to compare second pixel data of an optical image captured by one of the at least one sensor at a position shifted by a sub-pixel unit from the position where the optical image of the first pixel data is captured, with second reference data at a position corresponding to the position of the second pixel data.
    Type: Application
    Filed: November 19, 2008
    Publication date: May 21, 2009
    Applicant: NuFlare Technology, Inc.
    Inventors: Hideo Tsuchiya, Takayuki Abe
  • Publication number: 20090123059
    Abstract: A visual inspection apparatus and method using the scanning electron microscope are disclosed. An electron beam is scanned repeatedly on a sample, and an inspection and a reference image are generated by the secondary electrons generated from the sample or reflected electrons. From the differential image between the inspection image and the reference image, a defect is determined. The number of pixels in the generated image along the direction of repetitive scanning by the electron beam can be changed.
    Type: Application
    Filed: January 5, 2009
    Publication date: May 14, 2009
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Yasuhiro GUNJI, Hiroshi Miyai, Shigeya Tanaka
  • Publication number: 20090110263
    Abstract: A coplanarity inspection device for a printed circuit board includes a base, a supporting disk, a driver, a printed circuit board, a light source, an image acquisition means, and a controller. The supporting disk is arranged on the base, and the driver rotates the supporting disk. The printed circuit board is placed on the supporting disk, and includes a to-be measured side facing downward. The light source projects light beams on the to-be measured side of the printed circuit board. The image acquisition means aims at a specific area of the to-be measured side for image acquisition. The controller is to control the driver, and to store image taken by the image acquisition means. As such, the coplanarity inspection device for a printed circuit board can be employed to inspect whether the coplanarity of the printed circuit board satisfies the standard of setting values in a certain range.
    Type: Application
    Filed: March 4, 2008
    Publication date: April 30, 2009
    Applicant: The Testing Industry Benchmark
    Inventor: Chiu-Fang CHANG
  • Patent number: 7526405
    Abstract: A system and method for monitoring a process in a process plant and detecting an abnormal condition includes collecting data representative of the operation of the process, performing a multivariate statistical analysis to represent the operation of the process in a known state based on a set of collected reference data, where the reference data includes a statistical measure of the operation of the process in the known state. The system and method may further include representing the operation of the process in an unknown state based on a set of monitored data, where the monitored data includes a statistical measure of the operation of the process in an unknown state, and using the output of the multivariate statistical analysis as an input, and comparing the process in the unknown state to the multivariate statistical representation of the operation of the process in the known state to determine the operational state of the process.
    Type: Grant
    Filed: October 13, 2006
    Date of Patent: April 28, 2009
    Assignee: Fisher-Rosemount Systems, Inc.
    Inventor: John Philip Miller
  • Patent number: 7519216
    Abstract: Disclosed is a method of operating a manufacturing facility. A processor detects whether abnormal first image data exists at a first common location for each of the last N wafers of the first set of wafers to be placed on a platform, excluding any abnormal image data at a location for wafers that previously had abnormal image data at the location; and detects whether abnormal second image data exists at as second common location for each of the last N wafers of the second set to be placed on the platform, excluding any abnormal image data at a location for wafers that previously had abnormal image data at the location. A decision is made whether to clean the platform depending on results from the processor.
    Type: Grant
    Filed: April 30, 2008
    Date of Patent: April 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Marc J. Postiglione, James V. Iannucci
  • Publication number: 20090087082
    Abstract: A pattern inspection apparatus includes a stage configured to mount a target workpiece to be inspected thereon, a sensor configured to include a plurality of light receiving elements arrayed in a second direction orthogonal to a first direction which moves relatively to the stage, and to capture optical images of the target workpiece by using the plurality of light receiving elements, an accumulation unit configured to accumulate each pixel data of the optical images overlappingly captured by the sensor at positions shifted each other in the second direction by a pixel unit, for each pixel, and a comparison unit configured to compare the each pixel data accumulated for each pixel with predetermined reference data.
    Type: Application
    Filed: September 18, 2008
    Publication date: April 2, 2009
    Applicant: NuFlare Technology, Inc.
    Inventors: Takayuki ABE, Hideo Tsuchiya
  • Patent number: 7507961
    Abstract: A pattern inspection apparatus can be provided, for example, in a scanning electron microscope system. When patterns of a plurality of layers are included in a SEM image, the apparatus separates the patterns according to each layer by using design data of the plurality of layers corresponding to the patterns. Consequently, the apparatus can realize inspection with use of only the pattern of a target layer to be inspected, pattern inspection differently for different layers, or detection of a positional offset between the layers.
    Type: Grant
    Filed: June 15, 2006
    Date of Patent: March 24, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yasutaka Toyoda, Akiyuki Sugiyama, Ryoichi Matsuoka, Takumichi Sutani, Hidemitsu Naya
  • Patent number: 7505619
    Abstract: A dark field surface inspection tool and system are disclosed herein. The tool includes an illumination source capable of scanning a light beam onto an inspection surface. Light scattered by each inspection point is captured as image data by a photo detector array arranged at a fourier plane. The images captured are adaptively filtered to remove a portion of the bright pixels from the images to generate filtered images. The filtered images are then analyzed to detect defects in the inspection surface. Methods of the invention include using die-to-die comparison to identify bright portions of scattering patterns and generate unique image filters associated with those patterns. The associated images are then filtered to generate filtered images which are then used to detect defects. Also, data models of light scattering behavior can be used to generate filters.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: March 17, 2009
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Evan R. Mapoles, Grace H. Chen, Christopher F. Bevis, David W. Shortt
  • Patent number: 7498181
    Abstract: Integrated circuit dies are prepared for imaging by completely etching away all metal from the metal lines without removing barrier layers that underlie the metal lines. The metal vias may also be removed, especially if they are formed from the same metal as the metal lines, as in copper damascene circuits. This provides high contrast images that permits circuit layout extraction software to readily distinguish between metal lines and vias.
    Type: Grant
    Filed: September 29, 2005
    Date of Patent: March 3, 2009
    Assignee: Chipworks Inc.
    Inventors: Lev Klibanov, Sherri Lynn Griffin
  • Publication number: 20090041333
    Abstract: An object of the present invention is to provide a scanning electron microscope for reducing a process concerning inspection positioning or an input operation, thereby functioning with high precision at high speed. To accomplish the above object, the present invention provides a scanning electron microscope having a function for identifying a desired position on the basis of a pattern registered beforehand, which includes a means for setting information concerning the pattern kind, the interval between a plurality of parts constituting the pattern, and the size of parts constituting the pattern and a means for forming a pattern image composed of a plurality of parts on the basis of the information obtained by the concerned means.
    Type: Application
    Filed: October 10, 2008
    Publication date: February 12, 2009
    Inventors: Satoru YAMAGUCHI, Takashi Iizumi, Osamu Komuro, Hidetoshi Morokuma, Tatsuya Maeda, Juntaro Arima, Yasuhiko Ozawa
  • Patent number: 7483561
    Abstract: A method of constructing an image reader module and the image reader are described. The image reader module includes two or more circuit boards in a stacked configuration. Corresponding notches for receiving supports are formed along adjacent edges of the boards, which also have electrical contact points at the edges of each board connected to circuits on the boards. The supports are mounted in the notches between the two or more circuit boards to structurally interconnect the boards. Each of the supports, which may be flex cables or conductive bus bars, have one or more electrical conductors that are electrically connected to the contact points on the boards to electrically interconnect the boards, whereby the connections between the electrical conductors and the contact points form test points for the module. The notches may be substantially rectangular to receive the flex cable or may be slots to receive a bus bar.
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: January 27, 2009
    Assignee: Psion Teklogix Systems Inc.
    Inventors: Gregg R. Kricorissian, Tao Zhang
  • Publication number: 20090003683
    Abstract: A method of sensing a component held by a nozzle of a pick and place machine is provided. The method includes engaging a source of illumination and recording a reference background image when no component is held by the nozzle. Then, a component is adhered to the nozzle. A shadow image of the component is detected while the component is held by the nozzle. The detected shadow image of the component is adjusted based upon the recorded reference background image. Positional information relative to the component held on the nozzle is computed using the adjusted shadow image. The component is then mounted upon a workpiece using the positional information.
    Type: Application
    Filed: June 5, 2008
    Publication date: January 1, 2009
    Inventors: Eric P. Rudd, John P. Konicek, John D. Gaida
  • Patent number: 7463763
    Abstract: A pattern-element extractor (51), one of functions implemented by a computer, extracts a plurality of pattern elements from a reference image, a region of which is displayed on a display (45). An input part (46) accepts an operator's selection of one out of the plurality of pattern elements as a reference pattern element, and a distinguishability checker (52) checks whether the selected pattern element is distinguishable from the other pattern elements and usable as the reference pattern element for pattern matching. A result of the check is notified to the operator, and an appropriate one out of the pattern elements in the reference image can be selected as the reference pattern element, by referring to the result of the check made by the distinguishability checker (52). Thus, misrecognition of the reference pattern element used for detecting a position of a target image relative to the reference image in pattern matching is prevented.
    Type: Grant
    Filed: April 5, 2005
    Date of Patent: December 9, 2008
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Hiroshi Sano, Yumi Hayakawa, Atsushi Imamura, Eiji Nishihara
  • Patent number: 7457453
    Abstract: A pattern inspection apparatus including: an image detecting part for detecting a digital image of an object substrate; a display having a screen on which the digital image of the object substrate and/or a distribution of defect candidates in a map form are displayable; an input device for inputting information of a non-inspection region to be masked on the object substrate by defining a region on the screen on which said distribution of defect candidates is displayed in a map form; a memory part for storing coordinate data, pattern data or feature quantity data of the non-inspection region to be masked on the object substrate inputted on the screen by the input device; and a defect judging part in which the digital image detected by the image detecting part is examined in a state that a region matching with a condition stored in the memory part is masked and a defect is detected in a region other than said masked region.
    Type: Grant
    Filed: July 24, 2007
    Date of Patent: November 25, 2008
    Assignee: Hitachi, Ltd.
    Inventors: Takashi Hiroi, Masahiro Watanabe, Chie Shishido, Aritoshi Sugimoto, Maki Tanaka, Hiroshi Miyai, Asahiro Kuni, Yasuhiko Nara
  • Patent number: 7450748
    Abstract: A mask inspection method and system. Provided is a mask fabrication database describing geometrical shapes S to be printed as part of a mask pattern on a reticle to fabricate a mask through use of a mask fabrication tooling. The shapes S appear on the mask as shapes S? upon being printed. At least one of the shapes S? may be geometrically distorted relative to a corresponding at least one of the shapes S due to a lack of precision in the mask fabrication tooling. Also provided is a mask inspection database to be used for inspecting the mask after the mask has been fabricated by the mask fabrication tooling. The mask inspection database describes shapes S? approximating the shapes S?. A geometric distortion between the shapes S? and S? is less than a corresponding geometric distortion between the shapes S? and S.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: November 11, 2008
    Assignee: International Business Machines Corporation
    Inventors: Karen D. Badger, James A. Culp, Azalia A. Krasnoperova
  • Publication number: 20080267489
    Abstract: A method for determining abnormal characteristics in integrated circuit manufacturing process is disclosed. The method comprises obtaining a charged particle microscope image of a sample test structure, wherein the sample including a reference pattern and a test pattern; measuring gray levels of the reference pattern and the test pattern; calculating a standard deviation from a distribution of the gray levels of the reference pattern measured; and determining the abnormal characteristics of the test pattern based on the gray levels measured and the standard deviation.
    Type: Application
    Filed: April 24, 2008
    Publication date: October 30, 2008
    Applicant: Hermes- Microvision, Inc.
    Inventors: Hong Xiao, Jack Jau
  • Publication number: 20080253643
    Abstract: An improved component inspection imaging apparatus structure comprises a component inspection imaging apparatus, a component retaining turntable and a plurality of image capturing devices. The component inspection imaging apparatus comprises an extension tube fixing rack, an extension tube, a mirror extending rack, a mirror fixing rack and a conical mirror. A mirror plane portion is disposed on an internal side of the conical mirror, and an inspecting component is put onto the component retaining turntable and rotated under the component inspection imaging apparatus. The component inspection imaging apparatus covers the inspecting component for inspection. An image of the surrounding at the head of the inspecting component is formed at the mirror plane portion in the conical mirror. The image capturing device at the top of the component inspection imaging apparatus captures an image of the head of the inspecting component from top to bottom to determine a defective component quickly.
    Type: Application
    Filed: April 13, 2007
    Publication date: October 16, 2008
    Inventor: Chun-Nan Wu
  • Publication number: 20080232673
    Abstract: A method for manufacturing an electronic device is provided. The method includes: pressure-bonding a plurality of terminals of an electronic component to a plurality of electrodes formed on a surface of a transparent substrate, respectively, via an anisotropic conductive film to mount the electronic component on the transparent substrate; obtaining an image of the electrodes by imaging the transparent substrate with the electronic component mounted thereon from backside of the transparent substrate; measuring the number of indentations for each said electrode using the image of the electrode, the indentation being formed when the electrode is pressed by a conductive particle in the anisotropic conductive film; calculating an average and a standard deviation of the number of indentations per electrode throughout the transparent substrate; and calculating a probability that the number of indentations per electrode is less than a reference value on basis of the average and the standard deviation.
    Type: Application
    Filed: March 18, 2008
    Publication date: September 25, 2008
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Takashi Miyauchi
  • Patent number: 7428328
    Abstract: In a method and apparatus for forming a three-dimensional image for an inspection pattern, a reference intensity function of an inspection X-ray is formed in accordance with a continuous scanning depth, and is differentiated with respect to the scanning depth. The differential reference intensity function is decomposed into a start function and a characteristic function. The differential reference intensity function is then repeatedly integrated while a temporary vertical profile function is substituted for the start function until the temporary intensity of a reference X-ray is within an allowable error range. The temporary vertical profile function satisfying the error range is selected as an optimal vertical profile function. A surface shape is combined to the optimal vertical profile function along a depth of the inspection pattern to thereby form the three-dimensional image for the inspection pattern.
    Type: Grant
    Filed: July 12, 2005
    Date of Patent: September 23, 2008
    Assignee: Samsung Electronic Co., Ltd.
    Inventors: Yun-Jung Jee, Chung-Sam Jun, Yu-Sin Yang, Tae-Kyoung Kim
  • Patent number: 7428326
    Abstract: The present invention features a method whereby each vacuum nozzle in a multi-spindle component placement machine is inspected placement cycle. This process also allows for updating calibration of the nozzle position as well as immediate feedback regarding the condition of the vacuum nozzle. A chipped orifice or otherwise damaged nozzle is detected using a vision system and comparing the currently acquired image of each nozzle with the image of an “ideal” nozzle. Likewise, contamination such as adhesive on the nozzle is detected before that contamination can affect placement accuracy. Because of the nozzle inspection during the placement cycle, there is no slowdown of the placement machine cycle rate.
    Type: Grant
    Filed: December 2, 2002
    Date of Patent: September 23, 2008
    Assignee: Universal Instruments Corporation
    Inventor: Koenraad Gieskes
  • Patent number: 7424145
    Abstract: An inspection device for photomasks and products fabricated using the same, capable of reducing the time from inspection to repair. A reference data generator generates reference data that is based on design data and includes sensitivity class codes that differentiate designated pattern functions such as signal lines and power supply lines by means of inspection sensitivity. Then an inspection sensitivity setter allocates the desired inspection sensitivity for each sensitivity class code. An image acquiring unit photographs a subject of inspection (e.g., photomask or wafer), and a comparator detects a defect by comparing the photographed image with the reference data. When a defect is found, a reference data extractor extracts the region of the reference data that corresponds to the defect location. A defect registration determinator refers to the sensitivity class codes for the region and determines whether to register the defect. This reduces the number of defects that are registered.
    Type: Grant
    Filed: June 27, 2003
    Date of Patent: September 9, 2008
    Assignee: Fujitsu Limited
    Inventors: Tsutomu Horie, Kazutoshi Ohta
  • Publication number: 20080212869
    Abstract: A method of correcting a mask pattern for manufacturing a semiconductor device is disclosed. The method includes extracting a corner portion of a transistor portion. A distance from the corner portion to a line portion is extracted. A distance where the line portion does not overlap a rounding of the corner portion generated after a wafer process is obtained. A correction rule is made for a correction whether the corner portion is notched or not from the obtained distance. A corresponding relationship between the distance and an intersection part is obtained and a correction is made based on the correction rule to the corner portion.
    Type: Application
    Filed: December 3, 2007
    Publication date: September 4, 2008
    Inventors: Kei Yoshikawa, Satoshi Usui, Koji Hashimoto
  • Patent number: 7410737
    Abstract: A method to extend the process monitoring capabilities of a semiconductor wafer optical inspection system so as to be able to detect low-resolution effects of process variations over the surface of a wafer at much higher sensitivity than heretofore possible. The method consists, in essence, of grouping sensed pixels by geometric blocks over the inspected surface and comparing each block with a corresponding one from another die on the same wager, from another wager of from a stored model image. In one embodiment of the invention, pixel values are compared directly and differences are thresholded at a considerably lower level than during a defects detection process. In another embodiment, there is calculated a signature for each block, based on the sensed light intensity values, and corresponding signatures are compared.
    Type: Grant
    Filed: April 17, 2006
    Date of Patent: August 12, 2008
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Evgeni Levin, Gilad Almogy, Efrat Rozenman
  • Patent number: 7406191
    Abstract: After a CAD data and a parts library are combined to produce an inspection data, the set data for the inspection window is automatically corrected using the image of a bare board for a board to be inspected. In this correcting process, an inspection window based on the aforementioned inspection data is set on a bare board image, and then an image in the inspection window W4 making up a reference for setting other windows is binarized, and lands 35 on this binary image are detected. Further, on the basis of the detection result, the set position and size of land windows W1 for solder inspection are corrected, after which the set positions of other inspection windows W2 to W4 are corrected.
    Type: Grant
    Filed: August 5, 2003
    Date of Patent: July 29, 2008
    Assignee: Omron Corporation
    Inventors: Yoshiki Fujii, Toshiyuki Sugiyama
  • Publication number: 20080144923
    Abstract: A contact finger test apparatus is used for testing contact fingers of a printed circuit board. The contact finger test apparatus comprises a sampler, a processor and an image-analyzer module. The sampler is for capturing an image of the contact fingers, and converting the image to the digital image. The processor is for optimizing the digital image. The image-analyzer module is for analyzing the digital image to obtain a conclusion whether there are faults in the contact fingers. A contact finger test method is also disclosed.
    Type: Application
    Filed: June 20, 2007
    Publication date: June 19, 2008
    Applicant: HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: CHIOU-LIN FAN, YUAN-HUNG CHIEN
  • Publication number: 20080112607
    Abstract: An exemplary method for testing light-emitting diodes (LEDs) on a motherboard is provided. The method includes: using a camera module to take a first bulb image of a corresponding number of transparent bulbs which are connected to each of the LEDs when the LEDs are set in a power-on state and taking a second bulb image of the transparent bulbs when the LEDs are set in a power-off state; processing the two bulb images and dividing each of the two bulb images into small pictures; calculating an average pixel value of each of the small pictures, calculating a first difference of each of the small pictures between the average pixel value and a first predetermined value, and calculating a second difference of each of the small pictures between the average pixel value and a second predetermined value; ascertaining a present state of each of the LEDs by comparing the two differences; obtaining test results by comparing the present state of the LEDs with the set state; and reporting the test results.
    Type: Application
    Filed: July 24, 2007
    Publication date: May 15, 2008
    Applicant: HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: KUAN-LIN WU, WEI-YUAN CHEN
  • Patent number: 7372632
    Abstract: An imaging method and imaging system for inspecting features located at a known inter-feature pitch on portions of a target surface. The system includes a lens array having a plurality of lenses wherein the lenses of the lens array have an inter-lens pitch and an inter-field of view pitch corresponding to the inter-feature pitch, and an array of imaging elements having an inter-element pitch corresponding to the inter-feature pitch, whereby the imaging system images only field of view areas of the target surface containing features.
    Type: Grant
    Filed: September 7, 2006
    Date of Patent: May 13, 2008
    Assignee: Hitachi Via Mechanics, Ltd.
    Inventor: Todd E. Lizotte
  • Patent number: 7366321
    Abstract: A system and method for performing optical inspection are provided. At least one “invariant feature” of an object design is determined, and such invariant feature is used in inspecting objects having the corresponding design. An “invariant feature” is a feature that is invariant certain transformations occurring in a captured image of an object under inspection, such as brightness, color, and/or other transformations in the captured image. Accordingly, an inspection template may comprise information corresponding to at least one pre-selected invariant feature of an object's design. In certain embodiments, the template is a shape description of a feature that provides an intrinsic invariance to a specified set of basic transformations. Accordingly, the amount of pixel values stored in a template may be minimized, which minimizes the number of pixel comparisons made between a captured image of the object under inspection and the inspection template during the inspection analysis.
    Type: Grant
    Filed: June 18, 2004
    Date of Patent: April 29, 2008
    Assignee: Agilent Technologies, Inc.
    Inventor: Daniel A. Usikov
  • Publication number: 20080056560
    Abstract: An image capturing method and apparatus for pattern recognition of an electronic device are provided in which an electronic device is moved relative to a vision system for positioning the vision system over a target position on the electronic device, and when the vision system is positioned to view the target position, the vision system is operative to capture an image of the target position while the electronic device is undergoing relative motion with respect to the vision system without stopping. Thus, the time taken for pattern recognition can be significantly reduced.
    Type: Application
    Filed: September 5, 2006
    Publication date: March 6, 2008
    Inventors: Wei Yu, Mei Kwong Cheng, Hon Shing Law
  • Patent number: 7336814
    Abstract: A system and method facilitate machine-vision, for example three-dimensional pose estimation for target objects, using one or more images sensors to acquire images of the target object at one or more positions, and to identify features of the target object in the resulting images. A set of equations is set up exploiting invariant physical relationships between features such as constancy of distances, angles, and areas or volumes enclosed by or between features. The set of equations may be solved to estimate a 3D pose. The number of positions may be determined based on the number of image sensors, number of features identified, and/or number of known physical relationships between less than all features. Knowledge of physical relationships between image sensors and/or between features and image sensors may be employed. A robot path may be transformed based on the pose, to align the path with the target object.
    Type: Grant
    Filed: July 14, 2005
    Date of Patent: February 26, 2008
    Assignee: Braintech Canada, Inc.
    Inventors: Remus F Boca, Babak Habibi, Mohammad Sameti, Simona Pescaru
  • Publication number: 20080025595
    Abstract: A method for automatically inspecting positive and negative polar directions of a polar element on a substrate is provided. Firstly, an image of a standard substrate is retrieved to form a standard sample, and relevant data of the polar elements on a substrate to be inspected is obtained to form the inspected sample. Then, transforming the geometry coordinates to the pixel coordinates, using different shapes of frames to make each polar element be positioned within, and marking the positions, positive and negative polar directions of all the polar elements in the image of the standard substrate. A database is set up to record the relevant data of each polar element as the standard sample for inspecting. The standard sample is compared with all the samples to be inspected to inspect whether the polar directions of each polar element is correct or not.
    Type: Application
    Filed: June 23, 2007
    Publication date: January 31, 2008
    Applicant: ASUSTEK COMPUTER INC.
    Inventors: Chung-Hwa Chang, Hsin-Ching Su
  • Patent number: 7324685
    Abstract: In one embodiment, a system comprises logic configured to identify a tip of a pin that has been press fit into a circuit board, logic configured to measure characteristics that pertain to a flat end surface and a chamfered surface of the identified pin tip, logic configured to compare the measured characteristics with at least one of stored reference values and each other, and logic configured to make a final decision as to whether the pin is properly installed based upon results of the comparing performed by the logic configured to compare.
    Type: Grant
    Filed: October 20, 2003
    Date of Patent: January 29, 2008
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Jose M. Mejias Miranda