Of Charging Load-holding Or -supporting Element From Source And Transporting Element To Working, Treating, Or Inspecting Station Patents (Class 414/806)
  • Publication number: 20090074557
    Abstract: A method and a device for transporting and processing a number of objects, especially mail items, include transporting the objects in a number of transport processes to a respective processing system. At least one processing attribute as well as a feature is measured at the object before the transport processes. A data record with the processing attribute value and the feature value are stored. After the transport processes, the feature is measured again for each object, and the stored data record is determined. A search area restriction which is based on a sequence of feature values is undertaken for the determination. The processing system processes the object depending on the processing attribute value of the determined data record.
    Type: Application
    Filed: September 18, 2008
    Publication date: March 19, 2009
    Applicant: SIEMENS AKTIENGESELLSCHAFT
    Inventors: Gisbert Berger, Jorg-Andreas Illmaier, Wolf-Stephan Wilke, Katja Worm
  • Publication number: 20090074558
    Abstract: A method and device for processing and transporting items, particularly mail items, measure a processing attribute for each item. A value which each predefined feature assumes for the item is measured. A data record for the item is generated including the measured feature values and the measured processing attribute value. The item is transferred into an intermediate storage device, into a transport device and to a processing system. After reaching the processing system, a value is newly measured which each predefined feature assumes for this item. The data record generated for this item is determined using the feature values from the new measurement. If this item has an identification read clearly during the new measurement, the data record is determined based on this identification. Otherwise, a search with a restricted search space is executed. The processing system processes the item using the processing attribute value in the determined data record.
    Type: Application
    Filed: September 18, 2008
    Publication date: March 19, 2009
    Applicant: SIEMENS AKTIENGESELLSCHAFT
    Inventors: Gisbert Berger, Jorg-Andreas Illmaier
  • Publication number: 20090074543
    Abstract: A method and a device transports and processes multiple items, in particular postal consignments. Each item passes through a first processing installation and then at least one second processing installation. The first processing installation measures in each case a processing attribute and two values which two predefined features assume for the item, and generates a data record for the item. Data records for items that the second processing installation subjects to a predefined treatment are selected. The second processing installation measures at a first time point the value which the first feature assumes and later the value of the other feature. It searches for a selected data record and uses the feature value measured initially. When it finds such a data record, it subjects the item concerned to the predefined treatment.
    Type: Application
    Filed: September 18, 2008
    Publication date: March 19, 2009
    Applicant: SIEMENS AKTIENGESELLSCHAFT
    Inventors: Gisbert Berger, Jorg-Andreas Illmaier, Ulrich Weissgerber
  • Publication number: 20090074544
    Abstract: An apparatus and method for clamping and processing conveyor belt ends includes a stationary stand and a clamping member supported on the stand and configured to selectively retain an associated conveyor belt end therein. A belt processing member is configured to process the conveyor belt end retained in the clamping member, and a rail is detachably mounted on one of the stand member and the clamping member, and movably supports thereon the belt processing member for movement of the same along the conveyor belt end retained in the clamping member.
    Type: Application
    Filed: September 4, 2008
    Publication date: March 19, 2009
    Inventors: Gerhard Borner, Thomas Wilk
  • Publication number: 20090067957
    Abstract: A workflow cell for a fabrication facility is provided. The workflow cell includes a semiconductor processing tool and a buffering station holding Front Opening Unified Pods (FOUPs) proximate to the semiconductor processing tool. The buffering station receives the FOUPs from a main stocker of the fabrication facility. The buffering station is configured to store a portion of the FOUPs in the main stocker. The workflow cell also includes a conveying mechanism connecting the semiconductor processing tool and the buffering station. In one embodiment, the conveying mechanism is the Direct Tool Load mechanism. A fabrication facility having the workflow and a method for moving a transport container are also provided.
    Type: Application
    Filed: September 5, 2008
    Publication date: March 12, 2009
    Inventor: Mitsuhiro Ando
  • Publication number: 20090060691
    Abstract: A substrate receiving apparatus is capable of reducing the size of a substrate processing system. The substrate receiving apparatus is connected to a vacuum processing apparatus. The vacuum processing apparatus performs processing on a substrate. A connecting portion of the substrate receiving apparatus is connected to a container. The container houses a holding member holding a plurality of the substrates. A communication control portion controls a communication between an internal space in the substrate receiving apparatus and the interior of the container, and isolates the internal space from the interior. A holding member transferring-in portion takes the holding member out of the container and transfers the holding member into the internal space. A pressure control portion changes the internal space between an atmospheric pressure and a vacuum by controlling the pressure in the internal space isolated from the interior of the container.
    Type: Application
    Filed: August 27, 2008
    Publication date: March 5, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Shinji WAKABAYASHI
  • Publication number: 20090060703
    Abstract: A method for sorting integrated circuit (IC) devices of the type having a substantially unique identification (ID) code, such as a fuse ID, including automatically reading the ID code of each of the IC devices and sorting the IC devices in accordance with their automatically read ID codes, is disclosed.
    Type: Application
    Filed: October 9, 2008
    Publication date: March 5, 2009
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Raymond J. Beffa
  • Publication number: 20090053022
    Abstract: The invention relates to a method for moving paper, board and cellulosic web rolls in a roll packaging system comprising a plurality of roll handling stations. According to the method, to the system are imported at least three rolls (10, 16, 17) via a receiving station (5), the rolls (10, 16, 17) are moved in the system by means of a car (4) of an indexing conveyor, the car being adapted to move synchronously at each roll handling station, the car (4) is moved in a return motion when the indexing conveyor car (4) is in its lowermost position (elevation level ?1), the rolls (10, 16, 17) are moved in synchronism forward to at least one station, e.g., the wrapping station (7) and to at least one other station (6 or 8 or 9), and at least one roll (10) is moved at one station (7) in the direction of the roll's longitudinal axis.
    Type: Application
    Filed: October 13, 2006
    Publication date: February 26, 2009
    Applicant: Metso Paper, Inc.
    Inventor: Harri Lindberg
  • Publication number: 20090053021
    Abstract: A semiconductor manufacturing apparatus comprises a substrate transfer device for transferring a substrate, a substrate detector unit including a light emitter unit for emitting light onto the substrate and a light receiver unit for receiving the light to detect the substrate transferred by the substrate transfer device, and a controller for receiving data on the received light quantity from the light receiver unit. Multiple mutually non-overlapping light quantity ranges are pre-registered in the controller, and when light emitted from the light emitter unit reaches the light receiver unit by way of the substrate, the controller identifies which of the pre-registered light quantity ranges is the received light quantity, and outputs a command according to the identified light quantity range.
    Type: Application
    Filed: March 28, 2006
    Publication date: February 26, 2009
    Inventors: Norichika Yamagishi, Sadao Hisakado
  • Publication number: 20090053019
    Abstract: A load port which has no limitation in a placing direction of a substrate storing container, is flexibly applicable in accordance with a mode of a device to which the load port is connected, and is compact, is provided. Furthermore, the load port having high operation efficiency is provided. The load port is provided with a stage (12) for placing the substrate storing container, and a transferring mechanism for transferring the stage. The load port for opening and closing a cover of the placed substance storing container is provided with a rotating mechanism for rotating the stage (12), and a lifting and lowering mechanism for lifting and lowering the stage.
    Type: Application
    Filed: February 22, 2006
    Publication date: February 26, 2009
    Applicant: KABUSHIKI KAISHA YASKAWA DENKI
    Inventors: Shin Osaki, Mitsuaki Hagio, Takayuki Imanaka
  • Publication number: 20090050270
    Abstract: An apparatus and method incorporating at least two sensors that detect the presence of a substrate is provided. In one embodiment, a method for transferring a substrate in a processing system is described. The method includes positioning a substrate on an end effector in a first chamber, moving the substrate through an opening between the first chamber and a second chamber along a substrate travel path, and sensing opposing sides of the substrate travel path using at least two sensors positioned proximate to the opening, each of the at least two sensors defining a beam path that is directed through opposing edge regions of the substrate when at least a portion of an edge region traverses the beam path.
    Type: Application
    Filed: October 21, 2008
    Publication date: February 26, 2009
    Inventors: William A. Bagley, Paohuei Lee, Kyung-Tae Kim, Sam-Kyung Kim, Toshio Kiyotake, Sam Kim, Takayuki Matsumoto, Jonathan Erik Larson, Makoto Inagawa, James Hoffman, Billy C. Leung
  • Publication number: 20090047114
    Abstract: An apparatus for manufacturing a thin-film laminated member by laminating a plurality of thin films on the surface of a band-shaped flexible substrate includes a substrate conveying device for conveying the band-shaped flexible substrate in the horizontal direction with the widthwise direction of the band-shaped flexible substrate oriented in the vertical direction. A plurality of film forming chambers are arranged in succession along the direction in which the band-shaped flexible substrate is conveyed for forming films on the surface of the band-shaped flexible substrate. A pair of upper grip rollers are arranged between the plurality of film forming chambers for pinching an upper edge portion of the band-shaped flexible substrate while the band-shaped flexible substrate is being conveyed. A method thereof includes pinching the upper edge portion of the band-shaped flexible substrate with the pairs of upper grip rollers.
    Type: Application
    Filed: August 4, 2008
    Publication date: February 19, 2009
    Applicant: FUJI ELECTRIC HOLDINGS CO., LTD.
    Inventors: Shoji YOKOYAMA, Takashi OUCHI, Mitsuhiro NARUSE, Takashi KAMOSHIDA
  • Publication number: 20090043419
    Abstract: A substrate processing system which is capable of preventing dust from becoming attached to substrates without increasing the degree of cleanliness of a clean room to a predetermined level, and also capable of increasing the substrate processing throughput without increasing the burden on workers. a plasma processing apparatus 2 that subjects semiconductor wafers W to plasma processing in a cleaned atmosphere. A SMIF 4 has a enclosure 23 that is connected to the plasma processing apparatus 2 and has a cleaned atmosphere therein, a pod stage 26 on which a pod 3 housing semiconductor wafers W is mounted, a pod mounting portion 24 that carries out removal of semiconductor wafers W from the pod 3 and housing of semiconductor wafers W into the pod 3, and a wafer cassette transfer arm 27 that transfers semiconductor wafers W between the pod stage 26 and the plasma processing apparatus 2 via the enclosure 23.
    Type: Application
    Filed: October 10, 2008
    Publication date: February 12, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Satoshi YAMAZAKI, Tsukasa Makino
  • Publication number: 20090041563
    Abstract: A wafer transferring apparatus includes a top ring (60) for holding a wafer (W) on a lower end surface thereof and a pusher mechanism (10) for transferring the wafer (W) to and from the top ring (60). The pusher mechanism (10) has a wafer rest (40a) for placing the wafer (W) thereon and is arranged to allow the wafer (W) released from the lower end surface of the top ring (60) to be seated on the wafer rest (40a). The pusher mechanism (10) also has a sensor mechanism (50) for detecting when the wafer (W) is properly seated on the wafer rest (40a). The sensor mechanism (50) is adapted to block sensor light emitted from a light-emitting device (51) by the wafer (W) seated on the wafer rest (40a).
    Type: Application
    Filed: April 20, 2006
    Publication date: February 12, 2009
    Inventors: Nobuyuki Takahashi, Tadakazu Sone, Takuji Kobayashi, Hiroomi Torii
  • Publication number: 20090035101
    Abstract: By providing a space-efficient transfer buffer system in a manufacturing environment, which may act as a local interface between an automated transport system and the load port assembly of a process tool under consideration, the I/O capability of the process tool may be significantly enhanced, while not unduly contributing to the overall width of the process tools. In particular embodiments, an array-like arrangement of respective buffer places is used, wherein each buffer place is accessible by the transport system and may also interact with each of the load ports of the process tool.
    Type: Application
    Filed: February 29, 2008
    Publication date: February 5, 2009
    Inventors: Olaf Zimmerhackl, Alfred Honold
  • Publication number: 20090035120
    Abstract: The invention relates to an analysis apparatus with an analysis device for analyzing body fluids, and a magazine for test elements with a fresh supply container and a transfer unit that comprises a transfer element. At least one aperture for receiving a test element is formed in the circumferential surface of the transfer element. A waste container is provided in which used test elements are stored again after use.
    Type: Application
    Filed: July 31, 2008
    Publication date: February 5, 2009
    Inventor: Hans List
  • Publication number: 20090035105
    Abstract: Provided are an apparatus, method for separating a chip and a method for fabricating the apparatus. An apparatus for separating a chip, according to example embodiments, may include a suction holder. The suction holder may include an upper surface with at least one suction hole to suction and fix an adhesive tape to which a plurality of semiconductor chips may be attached. The apparatus for separating a chip may also include a rotatable plunger in the suction holder. The rotatable plunger may include an upper end configured to pass through the at least one suction hole and to project over the suction holder upon rotation of the rotatable plunger. The apparatus for separating a chip may also include a vertically movable plunger lifter. The vertically movable plunger lifter may be configured to rotate the rotatable plunger by contacting and raising a lower end of the rotatable plunger.
    Type: Application
    Filed: July 25, 2008
    Publication date: February 5, 2009
    Inventors: Cheal-Sang Yoon, Yong-Dae Ha, Jae-Ryoung Lee, Jeong-Soon Cho, Bum-Woo Lee, Young-Gon Hwang, Mok-Kun Kwon
  • Publication number: 20090022572
    Abstract: Systems and methods combining a cluster chamber with linear sources are described. A plurality of wafers is mounted on a pallet. A central robot in a cluster chamber moves the pallet among chambers connected to the cluster chamber chamber. At least one of the chambers connected to the cluster chamber includes a linear deposition source, the pallet moveable relative to the linear deposition source.
    Type: Application
    Filed: July 19, 2007
    Publication date: January 22, 2009
    Inventors: Thomas Pass, Hsin-Chiao Luan
  • Publication number: 20090022573
    Abstract: An apparatus and method for transferring printed products conveyed in a shingled flow in a conveying direction by a conveyor to a transporter positioned above the conveyor. The shingled flow is conveyed with circulating clamps. Each printed product respectively projects with a leading end over a front end of a following printed product in the conveying direction and the circulating clamps respectively clamp the leading end of the printed products. Each printed product is lifted from below along one side of the shingled flow in a transfer region formed by the shingled flow and the above-positioned transporter. After the printed products are is lifted up, a trailing end of each printed product is gripped by a clamp of the transporter and then the printed products are further transported.
    Type: Application
    Filed: July 17, 2008
    Publication date: January 22, 2009
    Applicant: Muller Martini Holding AG
    Inventors: Rolf Meyerhans, Ueli Mattmann
  • Publication number: 20090024241
    Abstract: Electronic device manufacturing systems and methods are provided. In some aspects, a system having a dual-mode robot is provided which is disposed within a system component (e.g., a factory interface or transfer chamber) and adapted to operate in a first mode and a second mode. In the first mode, the robot may transfer a substrate between components of the system (e.g., between a carrier and a process chamber or chamber to chamber) and in the second mode, the robot may execute a process motion profile (e.g., metrology).
    Type: Application
    Filed: July 20, 2008
    Publication date: January 22, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Michael R. Rice, Jeffrey C. Hudgens, Todd J. Egan, Ingrid B. Peterson
  • Publication number: 20090016859
    Abstract: A carrying system 1 has a carrying path which is laid out in such a manner as to pass through the lower sides of loading table 11 and the like provided at the front face side of treating devices 10, 100, 200, and covered by a cover 5. As the carrying path is positioned below the loading tables, the occupying areas of the loading table 11 and the like and portions of the region of the carrying path are shared so that space saving is achieved, and the accessibility to the treating device 10, 100 or the like from the front side thereof is improved, thereby realizing a layout which facilitates maintenance. A loading surface 11d or the like of the loading table 11 is set to a height which allows a conventional overhead-type carrying system 2 and unmanned carrying vehicle 3 of a floor-type carrying system to load an object on the loading surface, thereby ensuring the co-existence with the other carrying systems.
    Type: Application
    Filed: October 25, 2005
    Publication date: January 15, 2009
    Inventor: Teruo Asakawa
  • Publication number: 20090016860
    Abstract: A plurality of process modules for conducting processes on a wafer; conveying modules for conveying the wafer, a turnout module for transferring the wafer to/from the conveying module, and a CPU for detecting a trouble occurring in the process module and centrally controlling each of the modules based on a detection signal. When the controller detects the trouble occurring in any one of the process modules, the wafer to be conveyed to the process module where the trouble occurs is conveyed to the turnout module, and conveyance of the wafer before the process module where the trouble occurs is temporarily stopped, and conveyance and processing of the other wafer are continued, and thereafter, conveyance and processing of the wafer before the process module where the trouble occurs are conducted.
    Type: Application
    Filed: January 18, 2006
    Publication date: January 15, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tomohiro Kaneko, Yoshitaka Hara, Akira Miyata
  • Publication number: 20090016868
    Abstract: Singulation handler is provided which comprises a loading zone where a carrier mechanism receives and holds an electronic component and a singulation zone where the electronic component held by the carrier mechanism is singulated. A loader that is movable along an axis is operative to place the electronic component onto the carrier mechanism when it is situated in the loading zone and a vision system that is movable along substantially the same axis as the loader is operative to obtain at least one image of the electronic component showing alignment information so that the electronic component can be properly aligned during singulation.
    Type: Application
    Filed: July 12, 2007
    Publication date: January 15, 2009
    Inventors: Chi Wah CHENG, Lap Kei Eric CHOW
  • Publication number: 20090001616
    Abstract: A semiconductor substrate is provided. The substrate includes a first surface and an opposing second surface, wherein the first surface includes a marking in a centroid region of the first surface. The marking indicates a location of a center point on the first surface of the semiconductor substrate or identification data unique to the substrate. A system, methods of transporting and marking, and a device for reading the substrate markings are also provided.
    Type: Application
    Filed: June 20, 2008
    Publication date: January 1, 2009
    Inventors: Anthony C. Bonora, Raymond S. Martin, Michael Krolak
  • Publication number: 20090003980
    Abstract: A method is provided to regulate a conveying stream composed of articles of the tobacco-processing industry between at least one tray discharger and connected to at least one feed device by a conveying element that conveys a mass flow of the articles. The feed device has at least one chamber designed to receive the articles, and each chamber has at least two feed units running independently of each other to feed the articles to subsequent apparatuses. Each feed unit has an individual feed rate (P1, P2, . . . Pn). The method includes monitoring a level within the feed device using monitoring elements; sending a signal to each of the at least one tray dischargers for regulating the speed of transport of the conveying element and the feed rate (in articles/min) as a function of a current level within the feed device, and adapting the speed of transport to a desired level.
    Type: Application
    Filed: June 26, 2008
    Publication date: January 1, 2009
    Applicant: Hauni Maschinenbau AG
    Inventor: Sven Kluwe
  • Publication number: 20080317566
    Abstract: An automated cassette and slide handling system is disclosed which organizes microscope slides in cassettes, automatically and sequentially removes individual slides from their respective cassettes, positioned each slide under the microscope as provided by the protocol, and after examination returns the slide to its proper cassette.
    Type: Application
    Filed: August 3, 2007
    Publication date: December 25, 2008
    Applicant: Ikonisys, Inc.
    Inventors: Tibor Virag, Yash Agarwal, Wei Guo, Richard Eberle, Young Min Kim, Michael Kilpatrick, Petros Tsipouras, Triantafyllos Tafas
  • Publication number: 20080317565
    Abstract: A system for managing the entry of a wafer carrier into a stocker in a semiconductor manufacturing operation is disclosed. The system comprises a stocker that accepts wafer carriers via one or more input ports. The present invention provides a means for detecting the presence of a wafer carrier at the input port, means for identifying the type of the wafer carrier, and means for executing an action sequence if the stocker is not compatible with those wafer carriers. Upon detecting an erroneous condition, an action sequence is executed which may include prevention entry of the wafer carrier, and notifying an operator.
    Type: Application
    Filed: June 25, 2007
    Publication date: December 25, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Clayton D. Menser, JR., Louise C. Courtois
  • Publication number: 20080310941
    Abstract: The invention proposes a device (10) for transferring items (3) from an items (3) loading station (13) to an items (3) unloading station (14), which comprises: a group (18) of modules (19a-d) mounted such that they can move in a longitudinally direction, able to adopt a contracted configuration in which the modules (19a-d) are separated by a first spacing (P1), and a deployed configuration in which the modules (19a-d) are separated by a second spacing (E), means (34) of linking one module (19a) to another (19b), comprising a lever (38) mounted such that it is articulated on a first module (19b) and connected to a second module (19a), this lever (3) furthermore cooperating with a groove (46) having an intermediate section (46c) forming an angle with the direction of movement of the group (18) of modules (19a-d), and means (35, 36) of controlling the movement of the group (18). Application to the transfer of blanks from a heating station to a station for molding receptacles from blanks.
    Type: Application
    Filed: June 15, 2007
    Publication date: December 18, 2008
    Applicant: SIDEL PARTICIPATIONS
    Inventors: Philippe Freire-Diaz, Sylvain Auvray
  • Publication number: 20080307904
    Abstract: Method and system for obtaining a liquid sample from a particulate matter-containing liquid in, e.g., a specimen container. A receptacle is used that has an inlet and a chamber for collecting the liquid sample. A discharge passage accommodates upward flow of liquid from the container. The discharge passage preferably has an upper discharge port, and at least one intake submerged in the liquid in the container. A flow-metering passage prevents particulate matter above a predetermined size from passing into the receptacle chamber. Liquid transfer commences after the receptacle inlet is placed in liquid-tight communication with the discharge port. Operation of mechanized system also is disclosed, as well as an arrangement and method for handling multiple receptacles at a liquid transfer station.
    Type: Application
    Filed: November 10, 2005
    Publication date: December 18, 2008
    Inventors: Norman J. Pressman, William J. Mayer
  • Publication number: 20080279674
    Abstract: An operative method of a manufacturing system for processing a substrate is provided. The manufacturing system includes at least a handling system, two deposition apparatus groups, an etching apparatus group, a photolithography apparatus group and two photoresist-striping apparatus groups. The handling system has a plurality of handling paths comprising at least two connected ring paths, which are connected in a common path. These deposition apparatus groups and the photoresist-striping apparatus groups are located on the two ring paths respectively. The etching apparatus group is located on the common path. The photolithography apparatus group is located on the two ring paths between the two deposition apparatus groups. The manufacturing system of the present invention thus combines the advantages of the group-type manufacturing system and the continuous-type manufacturing system.
    Type: Application
    Filed: July 22, 2008
    Publication date: November 13, 2008
    Applicant: Chunghwa Picture Tubes, LTD.
    Inventors: Yao-I Tung, Yu-Jen Tsai, Wei-Liang Lee, Ching-Hsiang Chang, Sung-Hsing Yeh
  • Publication number: 20080279673
    Abstract: A pin conveyor for printed sheet material has a chain, a plurality of pins extending from the chain to push printed sheet material, and a receipt area for the printed sheet material, the chain and pins passing through the receipt area. The receipt area has at least one of the following a non-linear cross-section with the chain and pins passing through the receipt area, an inclined transport section of the chain, or a belt contacting the printed sheet material and running at or slower than a speed of the chain. A transfer unit with an overhead conveying unit and a method for delivering printed sheet material is also provided.
    Type: Application
    Filed: April 29, 2008
    Publication date: November 13, 2008
    Applicant: Goss Internationl Americas, Inc.
    Inventors: James Clyde FOLSOM, Glenn Alan GUARALDI, Wayne Curtis WILSON
  • Publication number: 20080273949
    Abstract: The invention relates to a method for moving paper, board and cellulosic web rolls in a roll packaging system comprising a plurality of roll handling stations. According to the method, to the system are imported at least three rolls (10, 16, 17) via a receiving station (5), the rolls (10, 16, 17) are moved in the system by means of a car (4) of an indexing conveyor, the car being adapted to move synchronously at each roll handling station, the car (4) is moved in a return motion when the indexing conveyor car (4) is in its lowermost position (base elevation level 0), the rolls (10, 16, 17) are moved in synchronism forward to at least one station, e.g., the wrapping station (7) and to at least one other station (6 or 8 or 9), and at least one roll (10) is moved at one station (7) in the direction of the roll's longitudinal axis.
    Type: Application
    Filed: April 11, 2005
    Publication date: November 6, 2008
    Applicant: Metso Paper, Inc.
    Inventor: Harri Lindberg
  • Publication number: 20080273948
    Abstract: The present invention provides a plate material carry-out device that can efficiently carry out a plurality of product plate materials cut from a raw plate material by a plate material processing machine, to a plate material carry-out section located outside the plate material processing machine. The plate material carry-out device includes tracks 11, 12 installed above and between a plate material processing machine 1 and a plate material carry-out section 3, a portable tray member 15 that is able to house a plurality of product plate materials W2, and two traveling members 13, 14 each having a holding member 20 capable of selectively holding the product plate materials W2 and the tray member 15; the traveling members 13, 14 are travelable along the track independently from each other. One of the traveling members, that is, the traveling member 13, causes the holding member 20 to hold the tray member 15 so that the tray member 15 stands by at a predetermined product reception position P2.
    Type: Application
    Filed: March 6, 2008
    Publication date: November 6, 2008
    Applicant: MURATA MACHINERY, LTD.
    Inventor: Hideo KOMURO
  • Publication number: 20080267747
    Abstract: A substrate transport apparatus for a processing tool. The apparatus has a drive section, a movable arm, and an end effector. The arm is operably connected to the drive section. The end effector is connected to the movable arm for holding and transporting the substrate in the processing tool. The apparatus has a substrate inertial capture edge grip connected to the end effector and arranged so that the grip effects capture and centering of the substrate onto the end effector from substrate inertia.
    Type: Application
    Filed: April 27, 2007
    Publication date: October 30, 2008
    Applicant: Brooks Automation, Inc.
    Inventors: Anthony V. DiBella, Dennis Poole, William Fosnight
  • Publication number: 20080267745
    Abstract: There is described a positioning apparatus for transferring at least one electronic component (6, 6a), in particular a chip, from a first flat support (1) to at least one predetermined location (2a) on a second flat support (2) which extends parallel to the first support, comprising an ejection device (7, 8) for removing the component (6a) from the first support (1) by means of an ejection movement, wherein a camera device (10) is provided for detecting position data of the predetermined location (2a), of the component (6a) to be removed from the first support (1) and optionally of the ejection device (7, 8), which together with the camera device (10) are arranged essentially on an imaginary common straight line (11). A positioning method is also described.
    Type: Application
    Filed: October 18, 2004
    Publication date: October 30, 2008
    Inventor: Gerhard Schiller
  • Publication number: 20080240890
    Abstract: A method for transporting a molded object, in particular an ophthalmic lens, specifically a contact lens (CL), in which a molded object is lifted up from a supporting surface (1) and transported to a destination, such that the molded object is acted upon by a fluid so that it is lifted up from the supporting surface (1) and transported to the destination through a transport line (2).
    Type: Application
    Filed: March 25, 2008
    Publication date: October 2, 2008
    Inventors: Roger Biel, Gunter Lassig
  • Publication number: 20080232935
    Abstract: The present invention provides a chucking station apparatus for removing a semiconductor workpiece positioned within a chuck, which includes a retainer member and a support member. The chucking station includes an upper assembly and a lower assembly operably connected to the upper assembly by an actuator assembly. The lower assembly has a receiver that receives the chuck and the workpiece residing between the retainer and support members. When the upper and lower assemblies are in the closed position, a separator element of the lower assembly exerts a force upon an outer periphery of the support member to disengage it from the retainer member. In an open position where the upper and lower assemblies are spaced a distance apart, the support member is disconnected from the retainer member to expose the workpiece. A release assembly of the lower assembly elevates the workpiece to present it for removal from the chucking station.
    Type: Application
    Filed: March 19, 2007
    Publication date: September 25, 2008
    Inventors: Kert L. Dolecheck, Ryan Pfeifle, Jacob Sykes
  • Publication number: 20080213080
    Abstract: The invention relates to a compact storage system and a corresponding method for storing frozen specimens. The compact storage system comprises one storage area, a housing with thermal insulation and at least one refrigeration unit, with which at least the storage area is coolable down to a temperature of at least ?15° C. The compact storage system also comprises storage shelves arranged completely within the refrigerated storage area and a transfer area arranged above this storage area. An essentially horizontally moving robot is arranged within the transfer area. The compact storage system is characterised in that it comprises at least one essentially vertical paternoster device, with a plurality of essentially horizontally oriented storage shelves circulating on an essentially oval path. The robot working in conjunction with the paternoster device is accomplished for removing or depositing a storage shelf and/or objects from/onto a storage shelf respectively.
    Type: Application
    Filed: December 7, 2007
    Publication date: September 4, 2008
    Inventors: Christian Cachelin, Bernhard Iseli, Dietmar Reisch, Jurg Steck, Thomas Stucki, Andre Wasserfallen, Lorenz Zellweger
  • Publication number: 20080206038
    Abstract: A method of processing materials at multiple work sites that prevents contamination of the atmosphere. The method includes providing a plurality of work sites containing workpieces, positioning a track connecting the sites, providing a mobile system comprising an enclosure and a treatment system in communication with a space enclosed by the enclosure, moving the mobile system so that the enclosure surrounds the workpieces on the first site, processing those workpieces, moving the mobile system along the track as a single unit onto a second work site, and processing those workpieces on the second site. The enclosure may be readily openable at opposing portions facing the track to enable the enclosure to pass over workpieces on the plurality of work sites. Additionally, a method of constructing an operation for processing workpieces is provided.
    Type: Application
    Filed: March 7, 2008
    Publication date: August 28, 2008
    Inventor: Michael KEITH
  • Publication number: 20080206024
    Abstract: A manufacturing apparatus and associated method for handling disks disposed in merged pairs in a carrier is provided, wherein spacings between adjacent pairs of the disks are greater than spacings between disks forming each pair. The apparatus includes a first disk contacting surface defining a first cavity that is sized to receivingly engage a selected one of the pairs of disks. A second disk contacting surface defines second and third cavities that are sized to receivingly engage individual disks of the selected pair of disks, wherein disks that are operably engaged in the cavities are spatially separated more adjacent the second disk contacting surface than at the first disk contacting surface.
    Type: Application
    Filed: May 4, 2008
    Publication date: August 28, 2008
    Applicants: MAXTOR CORPORATION
    Inventors: Gerardo Buitron, Thuan Luu, Barry Okamoto
  • Publication number: 20080193272
    Abstract: The present invention is directed to a system and method for automating the depalletization process. A vacuum hood is used to capture palletized goods one layer at a time using slip sheets that form barriers between the layers of goods stacked on a pallet. The walls of the vacuum hood form a seal with the slip sheet in order to seize the goods within the vacuum hood and remove the goods from the pallet. Each layer of goods may then be deposited on a conveyor system where the slip sheet is removed and the goods can be further processed or subdivided using conventional conveyor separation and alignment techniques.
    Type: Application
    Filed: February 8, 2007
    Publication date: August 14, 2008
    Applicant: Sage Automation, Inc.
    Inventor: Randy R. Beller
  • Patent number: 7407363
    Abstract: A substrate transport apparatus includes a first substrate transport robot, a second substrate transport robot and a substrate transfer mechanism. The first substrate transport robot includes an upper hand and a lower hand vertically arranged. The second substrate transport robot includes an upper hand and a lower hand vertically arranged. The substrate transfer mechanism includes an upper hand and a lower hand vertically arranged and a hand driving mechanism adapted to perform hand opening and closing operations to vertically move the upper and lower hands.
    Type: Grant
    Filed: March 24, 2006
    Date of Patent: August 5, 2008
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Eiji Okuno
  • Publication number: 20080145203
    Abstract: Provided is a method for transferring test trays in a handler including a second chamber having two test sites arranged in parallel, a first chamber having a plurality of passages along which a plurality of test trays are horizontally moved, provided over the second chamber, and a third chamber having a plurality of passages along which the plurality of test trays are horizontally moved, provided under the second chamber, the method including steps of (a) enabling two test trays to wait in parallel in a horizontal position at a waiting location provided to a forward section of the handler, (b) loading packaged chips onto the two test trays, (c) rotating the two test trays to be in the upright position, (d) moving upwards the two test trays into the first chamber, (e) heating or cooling the two test trays while moving horizontally the two test trays forward in the first chamber, (f) moving downward the two test trays from the first chamber into the second chamber, (g) moving horizontally the two test trays tow
    Type: Application
    Filed: November 21, 2007
    Publication date: June 19, 2008
    Inventors: Hyo-chul YUN, Hee-rak Beom, Jae-myeong Song, Yong-geun Park, Dae-gon Yun
  • Publication number: 20080131257
    Abstract: By providing a safety material, such as an adhesive foil, the probability for transport-related damage or destruction of substrates caused by broken substrates may be significantly reduced.
    Type: Application
    Filed: May 31, 2007
    Publication date: June 5, 2008
    Inventors: Carsten Peters, Thomas Werner, Frank Feustel, Kai Frohberg
  • Patent number: 7367773
    Abstract: Various methods and apparatus are provided for merging and demerging pairs of disks. In one embodiment, pairs of merged disks are first separated and then transferred to separate cassettes such that all of the disks in the separate cassettes are oriented in the same way within the cassette. In a second embodiment, two separate cassettes of substrate disks are combined into a single cassette. The merged substrate disks may be positioned in gap merge pairs, contact merge pairs or may be equally spaced in the cassette depending upon the orientation needed for the next process.
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: May 6, 2008
    Assignee: Maxtor Corporation
    Inventors: Gerardo Buitron, Thuan Luu, Barry Okamoto
  • Publication number: 20080101895
    Abstract: A gripper assembly includes at least one movable gripper jaw and a sensor member coupled for movement with the at least one gripper jaw. The sensor member includes a slot. A sensor is located at least partially within the slot and includes at least one inductor for inductively detecting a proximity of the sensor member.
    Type: Application
    Filed: November 1, 2007
    Publication date: May 1, 2008
    Inventors: Mark Holcomb, Conrad Waldorf, John Charlton
  • Patent number: 7366585
    Abstract: A method for moving a multi-axis or multi-axle handling system, particularly for orienting a hand of an industrial robot, with a gripping tool connected to a hand is characterized in that the movement is performed in such a way that movement-dependent moments on an article held by the gripping tool are largely eliminated and that essentially only normal forces act between the article and the gripping tool. An apparatus according to the invention is used for performing the method. This permits a safe and speed-optimized movement of handling articles, particularly during palletizing and commissioning, which leads to corresponding economic cost advantages.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: April 29, 2008
    Assignee: Kuka Roboter GmbH
    Inventors: Bernd Schnoor, Rainer Bischoff
  • Publication number: 20080044257
    Abstract: Techniques for temperature-controlled ion implantation are disclosed. In one particular exemplary embodiment, the techniques may be realized as an apparatus for temperature-controlled ion implantation. The apparatus may comprise a platen to hold a wafer in a single-wafer process chamber during ion implantation, the platen including: a wafer clamping mechanism to secure the wafer onto the platen and to provide a predetermined thermal contact between the wafer and the platen, and one or more heating elements to pre-heat and maintain the platen in a predetermined temperature range above room temperature. The apparatus may also comprise a post-cooling station to cool down the wafer after ion implantation. The apparatus may further comprise a wafer handling assembly to load the wafer onto the pre-heated platen and to remove the wafer from the platen to the post-cooling station.
    Type: Application
    Filed: June 28, 2007
    Publication date: February 21, 2008
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Jonathan Gerald ENGLAND, Richard Stephen Muka, Edwin A. Arevalo, Ziwei Fang, Vikram Singh
  • Publication number: 20070280816
    Abstract: Embodiments of the invention include a load lock chamber, a processing system having a load lock chamber and a method for transferring substrates between atmospheric and vacuum environments. In one embodiment, the method includes maintaining a processed substrate within a transfer cavity formed in a chamber body for two venting cycles. In another embodiment, the method includes transferring a substrate from a transfer cavity to a heating cavity formed in the chamber body, and heating the substrate in the heating cavity. In another embodiment, a load lock chamber includes a chamber body having substrate support disposed in a transfer cavity. The substrate support is movable between a first elevation and a second elevation. A plurality of grooves are formed in at least one of a ceiling or floor of the transfer cavity and configured to receive at least a portion of the substrate support when located in the second elevation.
    Type: Application
    Filed: June 2, 2006
    Publication date: December 6, 2007
    Inventors: Shinichi Kurita, Suhail Anwar, Jae-Chull Lee
  • Patent number: 7278817
    Abstract: An apparatus and method of transferring and loading a reticle onto a receiving station (for example, a reticle exposure stage). The reticle is first retrieved from a storage facility with an end effector having a reticle plate coupled to a mounting plate. The mounting plate connects the end effector to a robotic arm. The reticle is aligned in an out-of-plane position in an off-line alignment station. The alignment is in compliance with the alignment requirement at the receiving station. The reticle is mounted onto the reticle plate after undergoing the alignment at the off-line alignment station. The reticle is then transferred from the off-line alignment station to the receiving station while maintaining the previous alignment at the off-line alignment station. The apparatus further provides rigidity of the mounted reticle to ensure compliance with the alignment requirement at the receiving station.
    Type: Grant
    Filed: January 24, 2006
    Date of Patent: October 9, 2007
    Assignee: ASML Holding N.V.
    Inventors: Glenn M. Friedman, Peter Kochersperger, Joseph Laganza