Associated With Semiconductor Wafer Handling Patents (Class 414/935)
  • Patent number: 8821099
    Abstract: A substrate loading device having a frame, a cassette support, and a user interface. The frame is connected to a substrate processing apparatus. The frame has a transport opening through which substrates are transported between the device and processing apparatus. The cassette support is connected to the frame for holding at least one substrate holding cassette. The user interface is arranged for inputting information, and is mounted to the frame so that the user interface is integral with the frame.
    Type: Grant
    Filed: July 11, 2005
    Date of Patent: September 2, 2014
    Assignee: Brooks Automation, Inc.
    Inventors: Daniel A. Hall, Glenn L. Sindledecker, Matthew W. Coady, Marcello Trolio, Michael Spinazola
  • Patent number: 8807914
    Abstract: The present invention provides a seal device comprising a sealing passage which allows communication between a first space and a second space, and evacuation lines individually connected to the first space and the sealing passage. A gas feed line for feeding dry gas is connected to the sealing passage.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: August 19, 2014
    Assignee: Ebara Corporation
    Inventor: Hiroyuki Shinozaki
  • Patent number: 8777540
    Abstract: An apparatus for storing contamination-sensitive flat articles, in particular for storing semiconductor wafers, comprises a plurality of box-like compartments stationary arranged on a fixed mounting rack. The compartments are open on a front side and are arranged in rows and columns side by side and one above the other on the fixed mounting rack. The compartments each have a plurality of slotted holders for receiving the flat articles, and they surround a first handling unit configured for automatically inserting and removing the flat articles into and out of the slotted holders. A closed housing forms a clean room where both the plurality of compartments and the first handling unit are arranged.
    Type: Grant
    Filed: April 16, 2008
    Date of Patent: July 15, 2014
    Assignee: Dynamic Microsystems Semiconductor Equipment GmbH
    Inventors: Lutz Rebstock, Michael Meichsner
  • Patent number: 8776363
    Abstract: A method for supporting a semiconductor wafer includes providing a device wafer to a magnetizable ring, providing a magnetizable carrier to the device wafer, and magnetizing the magnetizable ring and the magnetizable carrier to form a magnetized clamp having a magnetized ring and magnetized carrier. The magnetized clamp securely clamps the device wafer therebetween.
    Type: Grant
    Filed: May 23, 2012
    Date of Patent: July 15, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Chang-Sheng Hsu, Li-Che Chen, Kuo-Yuh Yang, Chia-Wen Lien, Yan-Da Chen
  • Patent number: 8776841
    Abstract: The present invention provides a method, system, and components for protecting reticles and specifically for minimizing haze formation on reticles during storage and use. By substantially continually maintaining a purge in a storage housing having a reduced humidity level on reticles or by temporarily storing the reticle in a container in proximity to a desiccant or getter when not being purged, haze formation can be eliminated, minimized, or sufficiently controlled. Moreover, a filter media in the container may be positioned to be “recharged” during the substantially continual purging of the reticle, a reduced desirable humidity level can be readily maintained in the reticle container when the container is not currently being purged. Additionally, the system of the invention can comprise an ionizer associated with the purge system. For example, the ionizer can be associated with at least one of the plurality of purge lines of the purge system.
    Type: Grant
    Filed: June 19, 2007
    Date of Patent: July 15, 2014
    Assignee: Entegris, Inc.
    Inventors: Oleg P. Kishkovich, Xavier Gabarre, William M. Goodwin, James Lo, Troy Scoggins
  • Patent number: 8777547
    Abstract: A substrate transporting robot apparatus is disclosed which is adapted to transport a substrate to and from a chamber of an electronic device processing system. The apparatus may include an upper arm rotatable in an X-Y plane, a forearm rotatable relative to the upper arm in the X-Y plane, and a wrist member rotatable relative to the forearm in the X-Y plane, the wrist member including an end effector adapted to carry a substrate. The wrist member may be subjected to independent rotation such that various degrees of yaw may be imparted to the wrist member. In some aspects, the independent rotation is provided without a motive power device (e.g., motor) being provided on the arms or wrist member, i.e., the wrist member may be remotely driven. Systems and methods using the robot apparatus are also provided as are numerous other aspects.
    Type: Grant
    Filed: January 8, 2010
    Date of Patent: July 15, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Izya Kremerman, Jeffrey C. Hudgens
  • Patent number: 8758513
    Abstract: A processing apparatus includes a loading chamber; a buffer chamber connected to the loading chamber; a first process chamber connected to the buffer chamber; and an unloading chamber connected to the first process chamber, wherein a processing path through the processing apparatus is a forward in-line path in a direction through the loading chamber, the buffer chamber, the first process chamber, and the unloading chamber.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: June 24, 2014
    Assignees: LG Display Co., Ltd., Avaco Co., Ltd., LG Electronics Inc.
    Inventors: Jin Seok Lee, Hyuk Sang Yoon, Hwan Kyu Yoo, Byeong Eok Hwang
  • Patent number: 8758514
    Abstract: A cluster type semiconductor processing apparatus includes a wafer handling chamber having a polygonal base including multiple sides for wafer processing chambers and two adjacent sides for wafer loading/unloading chambers as viewed in a direction of an axis of the wafer handling chamber. An angle A between two adjacent sides of the multiple sides for wafer processing chambers is greater than an angle B which is calculated by dividing 360° by the number of the total sides consisting of the multiple sides for wafer processing chambers and the two adjacent sides for wafer loading/unloading chambers.
    Type: Grant
    Filed: March 2, 2007
    Date of Patent: June 24, 2014
    Assignee: ASM Japan K.K.
    Inventors: Masahiro Takizawa, Masaei Suwada, Takashi Hagino
  • Patent number: 8746666
    Abstract: A media carrier, adapted to hold a plurality of pieces of magnetic media, is disclosed. This media carrier can be placed on the workpiece support, or platen, allowing the magnetic media to be processed. In some embodiments, the media carrier is designed such that only one side of the magnetic media is exposed, requiring a robot or other equipment to invert each piece of media in the carrier to process the second side. In other embodiments, the media carrier is designed such that both sides of the magnetic media are exposed. In this scenario, the media carrier is inverted on the platen to allow processing of the second side.
    Type: Grant
    Filed: May 5, 2011
    Date of Patent: June 10, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Richard Hertel, Julian Blake, Edward Macintosh, Alexander Kontos, Frank Sinclair, Christopher Rowland, Mayur Jagtap, Sankar Ganesh Kolappan
  • Patent number: 8746669
    Abstract: To provide a chip supply pallet preventing destruction of a chip and preventing a reduction in a productivity from being brought about in exchanging a wafer sheet, the chip supply pallet includes a first member 33 having a tension ring 51 brought into contact with a wafer sheet from a lower side, and a fixing member 54 of fixing a ring frame holding the wafer sheet on an inner side on a lower side of the wafer sheet brought into contact with the tension ring 51, and a second member 34 having a fixed portion for fixing to a predetermined position of a chip supply apparatus and a held portion 36 of being held when the chip interchanging pallet 3 is transferred, and the first member 33 is configured to be able to rotationally displace relative to the second member 34 fixed to the predetermined position of the chip supply apparatus.
    Type: Grant
    Filed: February 6, 2009
    Date of Patent: June 10, 2014
    Assignee: Panasonic Corporation
    Inventor: Yasuo Takanami
  • Patent number: 8741096
    Abstract: An apparatus for semiconductor processing capable of performing semiconductor processing such as etching, depositing, etc. on a surface of a substrate such as a wafer. The apparatus for semiconductor processing, comprises: a reaction chamber having a gate through which a substrate to be processed is transferred; one or more shower heads disposed at an upper side of the reaction chamber, for spraying gas so as to perform semiconductor processing; one or more wafer supporting units disposed at an inner lower side of the reaction chamber in correspondence to each of the shower heads, for supporting the substrate; a processing space forming unit disposed in the reaction chamber, for forming a processing space for semiconductor processing by sealing the shower heads and the wafer supporting units; and an exhausting system connected to the processing space forming unit for controlling a pressure and air exhaustion inside the reaction chamber and the processing space formed by the processing space forming unit.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: June 3, 2014
    Assignee: Wonik IPS Co., Ltd.
    Inventors: Sang-Jun Park, Ho-Young Lee, Chun-Woo Lee
  • Patent number: 8702370
    Abstract: A substrate transfer method for transferring target substrates proceeds in a substrate processing system for performing processes including a photolithography sequence on the target substrates. The system includes a first automated substrate transfer line configured to transfer the target substrates among a plurality of process sections for respectively performing processes on the target substrates, and a second automated substrate transfer line of a cyclical type dedicated to a plurality of process apparatuses of a photolithography process section, which are configured to perform a series of processes in the photolithography sequence, the second automated substrate transfer line being located relative to the first automated substrate transfer line so as for the target substrates to be transferred therebetween.
    Type: Grant
    Filed: June 13, 2012
    Date of Patent: April 22, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Yuichi Yamamoto, Tadayuki Yamaguchi, Yasuhito Saiga, Yoshiaki Yamada
  • Patent number: 8690516
    Abstract: Provided is a method of adjusting a velocity of a transfer arm in a transfer member. The method includes, accelerating the transfer arm from a start point to a first point where a movement velocity reaches a preset reference velocity, dividing a division from the first point to a second point into movement divisions to move the transfer arm in any one of a deceleration motion, an acceleration motion, and a uniform motion according to the respective movement divisions, and decelerating the transfer arm from the second point to a target point. The motion of the transfer arm in the current movement division is different from that in the movement division just before the current movement division. Thus, a different impulse from that in the precedent movement division is applied to a substrate loaded on the transfer arm. Accordingly, the impulse response superposition cancels residual vibration of the substrate, so as to improve transfer efficiency of the transfer member.
    Type: Grant
    Filed: April 6, 2009
    Date of Patent: April 8, 2014
    Assignee: Semes Co., Ltd.
    Inventors: Kwang-Jin Hong, Sang-Eun Jun
  • Patent number: 8663489
    Abstract: A method for replacing plural substrates to be processed by a substrate processing apparatus which includes a substrate processing chamber, a load lock chamber, and a conveying apparatus including first and second conveying members for conveying the plural substrates into and out from the substrate processing chamber and the load lock chamber. The method includes the steps of a) conveying a first substrate out from the substrate processing chamber with the first conveying member, b) conveying a second substrate into the substrate processing chamber with the second conveying member, c) conveying the second substrate out from the load lock chamber with the second conveying member, and d) conveying the first substrate into the load lock chamber with the first conveying member. The steps c) and d) are performed between step a) and step b).
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: March 4, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Ishizawa, Hiroshi Koizumi, Tatsuya Ogi
  • Patent number: 8651789
    Abstract: A substrate processing apparatus is presented having a transport chamber defining substantially linear substrate transport paths, a linear array of substrate holding modules, each communicably connected to the chamber. The substrate transport has at least one transporter capable of holding and moving the substrate on more than one substantially linear substrate transport paths. The transport chamber having different transport tubes at least one of which is sealable at both ends of the transport tube and configured to hold an isolated atmosphere different from that of the transport tubes, each of the different transport tubes having one of the substrate transport paths located therein different from another of the transport paths located in another of the transport tubes, and being communicably connected to each other, where at least one of the transport tubes is configured to provide uninterrupted transit of the substrate transport through the transport tubes.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: February 18, 2014
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Robert T. Caveney
  • Patent number: 8631809
    Abstract: An interface block is constituted by a cleaning/drying processing block and a carry-in/carry-out block. The cleaning/drying processing block includes cleaning/drying processing sections and a transport section. The transport section is provided with a transport mechanism. The carry-in/carry-out block is provided with a transport mechanism. The transport mechanism carries substrates in and out of an exposure device.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: January 21, 2014
    Assignee: Sokudo Co., Ltd.
    Inventors: Tetsuya Hamada, Takashi Taguchi
  • Patent number: 8616821
    Abstract: The present disclosure provides a system and method for processing a semiconductor substrate wherein a substrate is received at a load lock interface. The substrate is transferred from the load lock interface to a process module using a first module configured for unprocessed substrates. A manufacturing process is performed on the substrate within the process module. Thereafter, the substrate is transferred from the process module to the load lock interface using a second module configured for processed substrates.
    Type: Grant
    Filed: August 26, 2010
    Date of Patent: December 31, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shao-Yen Ku, Chi-Ming Yang, Ming-Tsao Chiang, Yu-Fen Tzeng, Chin-Hsiang Lin
  • Patent number: 8601975
    Abstract: In a first aspect, a loadport is provided. The loadport has a plate adapted to couple to a door of a substrate carrier to open the substrate carrier wherein the plate includes a first opening adapted to couple to a first port in the door of the substrate carrier on a first side of the plate and to couple to a gas source on a second side of the plate, and wherein the loadport is adapted to allow a flow of gas into the substrate carrier via the first opening in the plate. Methods of purging substrate carriers are provided, as are numerous other aspects.
    Type: Grant
    Filed: November 2, 2011
    Date of Patent: December 10, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Vinay K. Shah, Eric Englhardt, Jeffrey C. Hudgens, Martin R. Elliott
  • Patent number: 8602706
    Abstract: A semiconductor workpiece processing apparatus having a first chamber, a transport vehicle, and another chamber. The first chamber is capable of being isolated from an outside atmosphere. The transport vehicle is located in the first chamber and is movably supported from the first chamber for moving linearly relative to the first chamber. The transport vehicle includes a base, and an integral semiconductor workpiece transfer arm movably mounted to the base and capable of multi-access movement relative to the base. The other chamber is communicably connected to the first chamber via a closable opening of the first chamber. The opening is sized to allow the transport vehicle to transit between the first chamber and the other chamber through the opening.
    Type: Grant
    Filed: August 17, 2009
    Date of Patent: December 10, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Robert T. Caveney
  • Patent number: 8596312
    Abstract: Disclosed herein is an apparatus including: a table configured to receive a container that stores an object therein, the container including a bottom surface provided with a positioning groove and including a charging inlet through which a gas is charged into the container; a positioning pin projecting from the table and adapted to engage with the positioning groove of the container; a nozzle configured to charge the gas into the container through the charging inlet; and a drive unit configured to move the nozzle into contact with the charging inlet of the container after the positioning pin is engaged with the positioning groove.
    Type: Grant
    Filed: March 2, 2011
    Date of Patent: December 3, 2013
    Assignee: Sinfonia Technology Co., Ltd.
    Inventors: Mitsuo Natsume, Mitsutoshi Ochiai, Takumi Mizokawa
  • Patent number: 8591700
    Abstract: The present disclosure is directed to a susceptor support that includes a hub and a plurality of arms extending radially from the hub, where each arm has a terminal end positioned away from the hub. The susceptor support also includes a plurality of elongated rectangular tips formed at the terminal end of each arm, each tip having a length and a width, wherein the length is greater than the width.
    Type: Grant
    Filed: August 19, 2010
    Date of Patent: November 26, 2013
    Assignee: STMicroelectronics Pte Ltd.
    Inventors: Roy G. Gatchalian, Joseph Gregorio Soriano, Hee Cher Heng
  • Publication number: 20130294877
    Abstract: A vacuum robot adapted to grip and transport a substrate. The robot includes a robot drive coupled to an arm and having an end-effector adapted to support the substrate. A robot gripper system is coupled to the end-effector including a front support having at least one hard stop, a movable contact element coupled to the end-effector, a bias device coupled to the contact element, and at least one actuator coupled to the end-effector configured to move the contact element between a release position and a grip position. The bias device is configured to passively bias the contact element in the grip position such that the contact element contacts an edge of the substrate to urge the substrate against the at least one hard stop to secure the substrate in the grip position and to passively bias the contact element in the release position such that the contact element is retained in the release position.
    Type: Application
    Filed: November 29, 2012
    Publication date: November 7, 2013
    Applicant: Persimmon Technologies Corporation
    Inventor: Martin Hosek
  • Patent number: 8562275
    Abstract: A transfer device 17 in a semiconductor processing system includes first and second actuation mechanisms 9A, 9B having first and second support sections movable on first and second vertical planes, respectively, the latter being parallel with each other. First and second movable blocks 18A, 18B are supported on the first and second support sections so that they may be horizontally moved by the first and second actuation mechanisms. Disposed on the first and second movable blocks are first and second handling mechanism 19A, 19B capable of extension and contraction for handling a processing subject substrate W. A control section 20 controls the operation of the first and second actuation mechanisms so that the first and second movable blocks may not interfere with each other.
    Type: Grant
    Filed: April 16, 2012
    Date of Patent: October 22, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Tsutomu Hiroki
  • Patent number: 8560108
    Abstract: Even when a module constituting a multi-module becomes an unavailable module, transfer of substrates can be promptly performed, while restricting generation of inferior products. When a destination module of a multi-module becomes unavailable before a substrate is transferred to the destination module, a destination of the substrate is changed to a module to which a substrate subsequent to the substrate is to be loaded. Upon generation of an unavailable module, before the transfer unit accesses the module on an upstream end of the transfer cycle, the transfer cycle proceeds until a precedent substrate becomes ready to be unloaded from the changed destination module. Alternatively, upon generation of an unavailable module, when the transfer unit is located on an upstream side of the unavailable module in the transfer cycle, the transfer operation of the transfer unit is made standby until a precedent substrate becomes ready to be unloaded in the changed destination module.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: October 15, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Kenichirou Matsuyama, Takeshi Matsumoto
  • Patent number: 8556566
    Abstract: Aspects of embodiments of the present invention are directed toward a method of stacking disk-shaped substrates for polishing without using a centering shaft and an apparatus for implementing the method. A stack of disk-shaped substrates can be concentrically aligned without contacting the inside circumferential surfaces of the disk-shaped substrates by applying pressure to the outside edges of the disk-shaped substrates in different lateral directions.
    Type: Grant
    Filed: September 30, 2011
    Date of Patent: October 15, 2013
    Assignee: WD Media, LLC
    Inventors: Shoji Suzuki, David A. Throckmorton
  • Patent number: 8550031
    Abstract: Embodiments of the invention generally include a robot assembly comprising a robot operable to position a substrate at one or more points within a plane, and a motion assembly having a motor operable to position the robot in a direction generally parallel to a first direction. The motion assembly comprises a robot support interface having the robot coupled thereto, and one or more walls that form an interior region in which the motor is enclosed. The walls define an elongated opening through which the robot support interface travels, and the motor is operable to move the robot support interface laterally in the elongated opening. The motion assembly further comprises one or more fan assemblies that are in fluid communication with the interior region. The fan assemblies are operable to create a subatmospheric pressure in the interior region thereby causing gas to flow through the elongated opening into the interior region.
    Type: Grant
    Filed: June 15, 2012
    Date of Patent: October 8, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Tetsuya Ishikawa, Rick J. Roberts, Helen R. Armer, Leon Volfovski, Jay D. Pinson, Michael Rice, David H. Quach, Mohsen S. Salek, Robert Lowrance, John A. Backer, William Tyler Weaver, Charles Carlson, Chongyang Wang, Jeffrey Hudgens, Harald Herchen, Brian Lue
  • Patent number: 8545159
    Abstract: An apparatus for a liquid crystal display device includes: a process chamber for treating a substrate; a load-lock chamber having an interior conveyor; and a transfer chamber connected to the process chamber and the at least one load-lock chamber, the transfer chamber having a substrate-transferring means.
    Type: Grant
    Filed: October 1, 2004
    Date of Patent: October 1, 2013
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Chul-Joo Hwang, Yong-Jin Kim
  • Patent number: 8544317
    Abstract: A method and apparatus provide for simultaneously moving multiple semiconductor wafers in opposite directions while simultaneously performing processing operations on each of the wafers. The semiconductor wafers are orientated in coplanar fashion and are disposed on stages that simultaneously translate in opposite directions to produce a net system momentum of zero. The die of the respective semiconductor wafers are processed in the same spatial sequence with respect to a global alignment feature of the semiconductor wafer. A balance mass is not needed to counteract the motion of a stage because the opposite motions of the respective stages cancel each other.
    Type: Grant
    Filed: October 9, 2009
    Date of Patent: October 1, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yu-Fu Lin, Yung-Cheng Chen, Heng-Jen Lee, Chin-Hsiang Lin
  • Patent number: 8523138
    Abstract: A grease supply mechanism is provided inside a vacuum transfer chamber. The grease supply mechanism includes: a grease storage part in a bottomed cylindrical shape storing grease therein; and a movable cover covering an opening of the grease storage part and sliding while being in contact with an inner wall of the grease storage part. When the cover is pressed and moved, the grease is supplied into a grease inlet via a grease supply port.
    Type: Grant
    Filed: January 9, 2012
    Date of Patent: September 3, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Takehiro Shindo
  • Patent number: 8522836
    Abstract: The substrate storage pod includes a pod case which includes a hollow inner space for storing a substrate, and an opening; a lid member which is capable of sealing the opening; an exhaust port for exhausting a replacement gas in the hollow inner space of the pod case; and an exhaust space which is defined in the hollow inner space so as to communicate to the exhaust port. The exhaust space is defined in the hollow inner space by a multi-hole partition member including multiple holes and by an inner surface of the pod case. In the substrate storage pod, back pressure on an exhaust side can be lowered, and hence dust in the pod can be collected to the exhaust side.
    Type: Grant
    Filed: February 25, 2011
    Date of Patent: September 3, 2013
    Assignee: TDK Corporation
    Inventors: Tsutomu Okabe, Toshihiko Miyajima
  • Patent number: 8500382
    Abstract: An airflow management system and/or method used in particle abatement in semiconductor manufacturing equipment. In particular, the apparatus disclosed is capable of creating and managing a carefully controlled particle free environment for the handling of semiconductor wafers or similar articles. The apparatus is particularly suited to be used as an interface between an equipment front end module (EFEM) and a vacuum loadlock chamber or other such article of process equipment. The apparatus also enables relative motion between enclosures while maintaining a particle free environment utilizing a moving air diffuser mounted to an interface panel.
    Type: Grant
    Filed: May 22, 2007
    Date of Patent: August 6, 2013
    Assignee: Axcelis Technologies Inc.
    Inventors: James S. Castantini, Tent-Chao D. Tao, Erin M. Madden, Donald N. Polner
  • Patent number: 8491248
    Abstract: Provided are apparatuses and methods disclosed for wafer processing. Specific embodiments include dual wafer handling systems that transfer wafers from storage cassettes to processing modules and back and aspects thereof. Stacked independent loadlocks that allow venting and pumping operations to work in parallel and may be optimized for particle reduction are provided. Also provided are annular designs for radial top down flow during loadlock vent and pumpdown.
    Type: Grant
    Filed: September 9, 2011
    Date of Patent: July 23, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Chris Gage, Shawn Hamilton, Sheldon Templeton, Keith Wood, Damon Genetti
  • Patent number: 8492283
    Abstract: At least a first reticle is stored in a housing of a stocker. A first gas is delivered to the housing. At least one reticle pod having an additional reticle is delivered into a enclosure within the housing of the stocker. A second gas different from the first gas is delivered to the enclosure. The reticle pod is automatically retrieved from the enclosure. The delivery and retrieval of the reticle pod and delivery of the first gas and the second gas are automatically controlled.
    Type: Grant
    Filed: January 18, 2008
    Date of Patent: July 23, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yung-Ho Chen, Wen-Chieh Tsou, Chih-Wei Huang, Wei-Cheng Wang
  • Patent number: 8486222
    Abstract: A substrate processing apparatus includes a processing chamber configured to process a substrate, a substrate support member provided within the processing chamber to support the substrate, a microwave generator provided outside the processing chamber, a waveguide launch port configured to supply a microwave generated by the microwave generator into the processing chamber, wherein the central position of the waveguide launch port is deviated from the central position of the substrate supported on the substrate support member and the waveguide launch port faces a portion of a front surface of the substrate supported on the substrate support member, and a control unit configured to change a relative position of the substrate support member in a horizontal direction with respect to the waveguide launch port.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: July 16, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tokunobu Akao, Unryu Ogawa, Masahisa Okuno, Shinji Yashima, Atsushi Umekawa, Kaichiro Minami
  • Patent number: 8462009
    Abstract: A system and method for introducing a substrate into a process chamber is provided. A presence or absence of a substrate on a stage in an apparatus for manufacturing a semiconductor or a flat panel display may be determined by lift pins used for loading and unloading a substrate, the introduction of another substrate may be prevented and a broken state or the erroneously loaded state of the substrate may be detected. An opening or closing of a gate valve may also be determined, and the introduction of a substrate into the process chamber may be prevented while the gate valve is closed.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: June 11, 2013
    Assignee: ADP Engineering Co., Ltd.
    Inventor: In Taek Lee
  • Patent number: 8462008
    Abstract: A system and method for introducing a substrate into a process chamber is provided. A presence or absence of a substrate on a stage in an apparatus for manufacturing a semiconductor or a flat panel display may be determined by lift pins used for loading and unloading a substrate, the introduction of another substrate may be prevented and a broken state or the erroneously loaded state of the substrate may be detected. An opening or closing of a gate valve may also be determined, and the introduction of a substrate into the process chamber may be prevented while the gate valve is closed.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: June 11, 2013
    Assignee: ADP Engineering Co., Ltd.
    Inventor: In Taek Lee
  • Patent number: 8454294
    Abstract: Apparatuses and methods for cooling and transferring wafers from low pressure environment to high pressure environment are provided. An apparatus may include a cooling pedestal and a set of supports for holding the wafer above the cooling pedestal. The average gap between the wafer and the cooling pedestal may be no greater than about 0.010 inches. Venting gases may be used to increase the pressure inside the apparatus during the transfer. In certain embodiment, venting gases comprise nitrogen.
    Type: Grant
    Filed: September 7, 2011
    Date of Patent: June 4, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Christopher Gage, Charles E. Pomeroy, David Cohen, Nagarajan Kalyanasundaram
  • Patent number: 8454293
    Abstract: A substrate processing apparatus having a station for loading and unloading substrates from the apparatus, includes an aperture closure for sealing a loading and unloading aperture of the station, a fluidic magazine door drive for removing a door of a substrate magazine and thus opening the substrate magazine and for operating the aperture closure to open the aperture, and sensor for mapping vertical locations of substrates mounted to the magazine door of the drive. The fluidic magazine door drive may include an encoder different from the sensor, the encoder being configured for determining the vertical location of the sensor.
    Type: Grant
    Filed: March 15, 2010
    Date of Patent: June 4, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Ulysses Gilchrist, David R. Beaulieu, Peter F. Van der Meulen
  • Patent number: 8443513
    Abstract: Disclosed is a substrate processing apparatus which can achieve an improvement in throughput and suppress the reduction in the operation rate of the entire apparatus even when a problem occurs. In the disclosed apparatus, at the rear end of a substrate loading block including a loading/unloading arm for transferring a wafer to a carrier, a first, a second, and a third processing blocks are disposed in that order. In the substrate loading block, transfer stages are provide for transferring a wafer from the loading/unloading arm to the first processing block, for transferring a wafer to the second processing block, and for transferring a wafer to the third processing block so that the wafer on the transfer stage is directly carried to the second processing block by a first direct carrying mechanism, and to the third processing block by a second direct carrying mechanism.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: May 21, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Seiki Ishida, Yukiyoshi Saito
  • Patent number: 8444363
    Abstract: Provided is a substrate processing apparatus configured to attain conflicting purposes of high throughput and footprint reduction. The substrate processing apparatus comprises a carrying chamber, and a loadlock chamber and at least two process chambers that are arranged around the carrying chamber. The carrying chamber comprises a substrate carrying unit configured to carry a substrate between the loadlock chamber and the process chambers. The substrate carrying unit comprises a first arm provided with a first finger and a second finger, and leading ends of the first and second fingers extend horizontally in the same direction. Each of the process chambers comprises a first process unit and a second process unit, and the second process unit is disposed at a side of the process chamber distant from the carrying chamber with the first process unit being disposed therebetween.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: May 21, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Masakazu Sakata, Akira Takahashi, Hidehiro Yanai, Motonari Takebayashi, Shinya Tanaka
  • Patent number: 8434993
    Abstract: A robot apparatus according to the invention is configured to hand over a workpiece by rotating by a prescribed angle a finger including a holding means for holding the workpiece. The robot apparatus includes: a drive shaft including a first finger and a second finger spaced from each other. The first finger includes a first arm portion and a second arm portion extending from its rotation center with a prescribed angle therebetween so as to be distanced from each other. The second finger includes a third arm portion and a fourth arm portion extending from its rotation center with a prescribed angle therebetween so as to be distanced from each other. The second arm portion and the fourth arm portion are distanced from each other when the first arm portion and the third arm portion overlap in the axial direction of the drive shaft. The robot apparatus can further improve productivity without incurring size increase and high cost.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: May 7, 2013
    Assignee: Shibaura-Mechatronics Corporation
    Inventors: Hidehito Azumano, Masahiro Tanabe
  • Patent number: 8418733
    Abstract: A pod opener is an apparatus for purging the inside of a reticle pod having a pod cover and an openable bottom lid by supplying and discharging a clean gas to and from the inside of the reticle pod. The pod opener includes a stage, an elevator driving mechanism, a latch mechanism, and a supplying-discharging section. The stage can attach and remove the bottom lid to and from the pod cover. The elevator driving mechanism can move the stage. The latch mechanism serves to move a latch member that locks the bottom lid to the pod cover such that it cannot be detached and to release the locked state of the bottom lid. The supplying-discharging section starts purging before the latch member has completed an operation of locking the bottom lid to the pod cover.
    Type: Grant
    Filed: April 13, 2010
    Date of Patent: April 16, 2013
    Assignee: Murata Machinery, Ltd.
    Inventors: Nobuhide Shikata, Takanori Izumi
  • Patent number: 8419341
    Abstract: There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A linear transport chamber includes linear tracks and robot arms riding on the linear tracks to linearly transfer substrates along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers. A four-axis robot arm is disclosed, capable of linear translation, rotation and articulation, and z-motion.
    Type: Grant
    Filed: September 3, 2010
    Date of Patent: April 16, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Gee Sun Hoey, Terry Bluck, Hoang Huy Vu, Jimin Ryu
  • Patent number: 8415770
    Abstract: Apparatus and methods for uniform metal plating onto a semiconductor wafer, such as GaAs wafer, are disclosed. One such apparatus can include an anode and a showerhead body. The anode can include an anode post and a showerhead anode plate. The showerhead anode plate can include holes sized to dispense a particular plating solution, such as plating solution that includes gold, onto a wafer. The showerhead body can be coupled to the anode post and the showerhead anode plate. The showerhead body can be configured to create a seal sufficient to substantially prevent a reduction of pressure in the plating solution flowing from the anode post to holes of the showerhead anode plate.
    Type: Grant
    Filed: May 2, 2012
    Date of Patent: April 9, 2013
    Assignee: Skyworks Solutions, Inc.
    Inventors: Jens A. Riege, Heather L. Knoedler, Shiban K. Tiku
  • Patent number: 8413693
    Abstract: A curtain nozzle is located above an opening portion in a FIMS. A gas curtain formed of inert gas for closing the opening portion is formed. A cover is so provided as to cover a part of the curtain nozzle so as to prevent peripheral gas around an opening of the curtain nozzle from being involved in the gas curtain of the inert gas emitted from the curtain nozzle.
    Type: Grant
    Filed: June 21, 2012
    Date of Patent: April 9, 2013
    Assignee: TDK Corporation
    Inventor: Tsutomu Okabe
  • Patent number: 8408158
    Abstract: A coating/developing device includes a processing block having a plurality of coating unit blocks stacked and a developing unit block stacked on the coating unit blocks. Each of the unit blocks is provided with a liquid processing unit for coating a liquid chemical on a substrate, a heating unit for heating the substrate, a cooling unit for cooling the substrate and a transfer unit for transferring the substrate between the units. The liquid processing unit is provided with a coating unit for coating a resist liquid on the substrate, a first bottom antireflection coating (BARC) forming unit for coating a liquid chemical for a BARC on the substrate before the resist liquid is coated thereon, and a second BARC forming unit for coating a liquid chemical for the BARC on the substrate after the resist liquid is coated thereon.
    Type: Grant
    Filed: March 9, 2006
    Date of Patent: April 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Masami Akimoto, Shinichi Hayashi, Yasushi Hayashida, Nobuaki Matsuoka, Yoshio Kimura, Issei Ueda, Hikaru Ito
  • Patent number: 8403601
    Abstract: The present invention is a transfer apparatus for a substrate, including a substrate housing container housing a substrate therein and having a transfer-in/out port for the substrate formed in a side surface thereof; a gas jet unit jetting a predetermined gas toward a rear surface of the substrate in the substrate housing container; and a control unit regulating a supply amount of the predetermined gas supplied from the gas jet unit to control the substrate in the substrate housing container to a predetermined height.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: March 26, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Mitsuteru Yano, Yuichi Douki, Hiroshi Tomita
  • Patent number: 8375998
    Abstract: A curtain nozzle is located above an opening portion (10) in a FIMS. A gas curtain formed of inert gas for closing the opening portion is formed. A cover is so provided as to cover a part of the curtain nozzle so as to prevent peripheral gas around an opening of the curtain nozzle from being involved in the gas curtain of the inert gas emitted from the curtain nozzle.
    Type: Grant
    Filed: November 18, 2011
    Date of Patent: February 19, 2013
    Assignee: TDK Corporation
    Inventor: Tsutomu Okabe
  • Patent number: 8367565
    Abstract: In accordance with some embodiments described herein, a method for transferring a substrate is provided. The method includes loading one or more substrates into a respective mobile chamber of one or more mobile chambers. The mobile chambers are movable on a first rail positioned adjacent to two or more process modules. Each mobile chamber is configured to maintain a specified gas condition. The respective mobile chamber is moved along the first rail. The respective mobile chamber is docked to a respective process module of the two or more process modules. At least one of the one or more substrates is conveyed from the respective mobile chamber to the respective process module.
    Type: Grant
    Filed: December 20, 2010
    Date of Patent: February 5, 2013
    Assignee: Archers Inc.
    Inventors: Lawrence Chung-Lai Lei, Alfred Mak, Rex Liu, Kon Park, Samuel S. Pak, Tzy-Chung Terry Wu, Simon Zhu, Ronald L. Rose, Gene Shin, Xiaoming Wang
  • Patent number: 8365682
    Abstract: Substrate support methods and apparatus include vertically aligned lift pins that have bearing surfaces that engage friction plates and/or magnetic fields to maintain the vertical orientation of the lift pins during substrate lifting. In some embodiments, a magnetic field and/or weighting may alternatively or additionally be used to control the vertical orientation of the lift pins, limit the angle of the lift pins, and/or prevent the lift pins from unintentionally binding in a susceptor as the susceptor is raised and prevent the resulting uneven support of the substrate.
    Type: Grant
    Filed: May 31, 2005
    Date of Patent: February 5, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Wendell T. Blonigan, Carl Sorensen, John M. White, Robin L. Tiner