Including Wafer Orienting Means Patents (Class 414/936)
  • Patent number: 8147924
    Abstract: An apparatus for manufacturing a magnetic recording disk includes a magnetic-film deposition chamber in which a magnetic film for a recording layer is deposited on a substrate; a lubricant-layer preparation chamber in which a lubricant layer is prepared on the substrate in vacuum; and a cleaning chamber in which the substrate is cleaned in vacuum after the magnetic-film deposition in the magnetic-film chamber and before the lubricant-layer preparation in the lubricant-layer chamber. The apparatus may further include a transfer system that transfers the substrate from the cleaning chamber to the lubricant-layer preparation chamber without exposing the substrate to the atmosphere.
    Type: Grant
    Filed: September 2, 2008
    Date of Patent: April 3, 2012
    Assignee: Canon Anelva Corporation
    Inventors: Naoki Watanabe, Nobuyoshi Watanabe, Kazunori Tani, Shinji Furukawa, Hiromi Sasaki, Osamu Watabe
  • Patent number: 8118640
    Abstract: A wafer transferring apparatus includes a top ring for holding a wafer on a lower end surface thereof and a pusher mechanism for transferring the wafer to and from the top ring. The pusher mechanism has a wafer rest for placing the wafer thereon and is arranged to allow the wafer released from the lower end surface of the top ring to be seated on the wafer rest. The pusher mechanism also has a sensor mechanism for detecting when the wafer is properly seated on the wafer rest. The sensor mechanism is adapted to block sensor light emitted from a light-emitting device by the wafer seated on the wafer rest.
    Type: Grant
    Filed: April 20, 2006
    Date of Patent: February 21, 2012
    Assignee: Ebara Corporation
    Inventors: Nobuyuki Takahashi, Tadakazu Sone, Takuji Kobayashi, Hiroomi Torii
  • Patent number: 8099817
    Abstract: In a first aspect, an apparatus for cleaning a thin disk is provided. The apparatus includes a support roller for supporting a rotating wafer within a wafer cleaner. The support roller comprises a guide portion, for receiving an edge of a wafer, having an inclined surface comprising a low-friction material and adapted to allow the wafer edge to slide thereagainst; and an edge-trap portion for retaining the edge of the wafer and having a transverse surface comprising a high-friction material and adapted, when in communication with the edge of the wafer, to resist sliding thereagainst. Numerous other aspects are provided.
    Type: Grant
    Filed: March 7, 2011
    Date of Patent: January 24, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Joseph Yudovsky, Anne-Douce Coulin, Leon Volfovski
  • Patent number: 8099190
    Abstract: A method and an apparatus for transferring a substantially flat and substantially circular objects, such as wafers, from a pick-up position to a delivery position, the apparatus comprising, a manipulator, at least one source for emitting a source signal, at least one sensor for sensing said source signal and for providing a sensor signal, a computing device arranged for processing at least one sensor signal to obtain data on the position of said object, the manipulator being arranged for simultaneously transferring a first and a second object along a path in a substantially parallel orientation, spaced apart from each other, and substantially co-axially whereby the central axis of each object may be displaced radially, a said source and a said sensor are connected by a virtual line, whereby the virtual line includes an angle with the central axes of the first and second objects.
    Type: Grant
    Filed: June 22, 2007
    Date of Patent: January 17, 2012
    Assignee: ASM International N.V.
    Inventors: Christianus Gerardus Maria De Ridder, Theodorus Gerardus Maria Oosterlaken
  • Patent number: 8062384
    Abstract: Provided herein are methods, apparatuses and systems for fabricating photovoltaic cells and modules. In certain embodiments, the methods, apparatuses and systems involve coating ferromagnetic substrates with thin film solar cell materials and using magnetic force to constrain, move or otherwise manipulate partially fabricated cells or modules. According to various embodiments, the methods, apparatuses and systems provide magnetically actuated handling throughout a photovoltaic cell or module fabrication process, from forming photovoltaic cell layers on a substrate to packaging the module for transport and installation. The magnetically manipulated processing provides advantages over conventional photovoltaic module processing operations, including fewer mechanical components, greater control over placement and tolerances, and ease of handling. As a result, the methods, apparatuses and systems provide highly efficient, low maintenance photovoltaic module fabrication processes.
    Type: Grant
    Filed: June 12, 2009
    Date of Patent: November 22, 2011
    Assignee: Miasole
    Inventors: Bruce Krein, Darin Birtwhistle, Jeff Thompson, William Sanders, Paul Alexander
  • Patent number: 8055376
    Abstract: In a substrate processing system for processing a substrate, such as a wafer W, held by a substrate holding device rotatable about a vertical axis, such as a spin chuck, a jig is placed on the substrate holding device, and centrifugal acceleration imparted to a predetermined measuring position on the jig and an eccentricity of the measuring position from the rotation center of the spin chuck are determined. The position of the rotation center is determined on the basis of centrifugal accelerations imparted to the measuring position when the jig is placed at three different positions and eccentricities of the measuring position from the rotation center when the jig is placed at the three different positions. Data on a substrate placing position, the center of the substrate placed at which coincides with the rotation center, is stored as data of a substrate placing position at which a substrate is to be placed.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: November 8, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Yuichi Doki, Tokutarou Hayashi
  • Patent number: 8033770
    Abstract: A vacuum processing apparatus includes vacuum processing chambers for subjecting a sample to vacuum processing, a vacuum carriage, a switchable chamber, a cassette support for supporting a plurality of cassettes capable of housing samples, and a waiting cassette support for supporting a waiting cassette that differs from the cassettes supported on the cassette support and capable of having a number of samples. An atmospheric carriage enables carrying a sample among a given cassette of the plurality of cassettes or the waiting cassette. A controller effects control for carrying unprocessed and processed samples among the given cassette, the waiting cassette and the vacuum processing chamber, so that a mixture of both processed and unprocessed samples does not exist in the given cassette or the waiting cassette.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: October 11, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takeshi Oono, Kenji Nakata, Shoji Okiguchi, Tooru Ueno, Hidehiro Oomae, Shigeharu Minami, Yoshitaka Kai
  • Patent number: 8025475
    Abstract: One sensor constituted of a light emission element and a light-receiving element is provided in a path through which a wafer is transferred. The sensor is positioned so that the wafer passes through an area between the light emission element and the light-receiving element. Coordinates of the center of the wafer are calculated based on encoder values obtained when the wafer starts passing through the sensor and when the wafer completes passing through the sensor, position data of wafer transfer arm corresponding to the encoder value, and the diameter of the wafer; and thereby the amount of positional deviation of the center of the wafer from a reference position is calculated.
    Type: Grant
    Filed: August 24, 2007
    Date of Patent: September 27, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Shinji Wakabayashi
  • Patent number: 7993093
    Abstract: A two-axis automation system can be used to transfer and rotate wafers between horizontal and vertical orientations necessary for differing steps in a semiconductor fabrication process. The two rotational axes allow for the transfer and rotation to be done in a minimal space and with a minimum amount of swept volume. A transfer arm of the automation system can include a pair of load pads capable of loading and unloading a wafer processing chamber in a single sweep.
    Type: Grant
    Filed: September 15, 2004
    Date of Patent: August 9, 2011
    Assignee: Applied Materials, Inc.
    Inventor: Victor Mimken
  • Patent number: 7963736
    Abstract: A semiconductor-processing apparatus includes: a wafer handling chamber; a wafer processing chamber; a wafer handling device; a first photosensor disposed in the wafer handling chamber in front of the wafer processing chamber at a position where the wafer partially blocks light received by the first photosensor at a ready-to-load position and substantially entirely blocks light received by the first photosensor when the wafer moves from the ready-to-load position toward the wafer processing chamber in the x-axis direction; and a second photosensor disposed in the wafer handling chamber in front of the wafer processing chamber at a position where the wafer does not block light received by the second photosensor at the ready-to-load position and partially blocks light received by the second photosensor when the wafer moves from the ready-to-load position toward the wafer processing chamber in the x-axis direction.
    Type: Grant
    Filed: April 3, 2008
    Date of Patent: June 21, 2011
    Assignee: ASM Japan K.K.
    Inventors: Masahiro Takizawa, Masaei Suwada, Masayuki Akagawa
  • Patent number: 7959400
    Abstract: In a preferred embodiment, an aligner includes a grip mechanism provided with a plurality of damp arms for holding an external periphery of a wafer; a rotary mechanism for rotating the grip mechanism to rotate the wafer in a prescribed rotational direction, and a lifter mechanism provided with a plurality of lift arms for lifting up the wafer above the grip mechanism. The aligner is configured to align the wafer by the grip operation of the grip mechanism and the rotary operation of the rotary mechanism, and one or more of the plurality of the lift arms which interfere with one or more of the plurality of clamp arms at the time of lifting up the plurality of lift arms are engaged with restriction members provided on the grip mechanism to prevent an upward movement thereof.
    Type: Grant
    Filed: October 17, 2007
    Date of Patent: June 14, 2011
    Assignee: Kabushiki Kaisha Yaskawa Denki
    Inventors: Mitsuaki Hagio, Shin Osaki, Keisuke Yoshino
  • Patent number: 7926441
    Abstract: In the coating treatment apparatus, in a first treatment chamber, the front and rear surfaces of the substrate held by a transfer arm are inverted by a turning mechanism, and a coating solution is applied from a coating nozzle to the rear surface of the substrate. The substrate is transferred into a second treatment chamber, in which the coating solution on the rear surface is heated by a heating unit to cure, thereby forming a coating film on the rear surface of the substrate. The formation of the coating film by the coating treatment apparatus is performed before exposure processing, whereby the rear surface of the substrate can be flat for the exposure processing.
    Type: Grant
    Filed: January 18, 2008
    Date of Patent: April 19, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kenji Tsutsumi, Junichi Kitano, Osamu Miyahara, Hideharu Kyouda
  • Patent number: 7922440
    Abstract: The present invention comprises an apparatus and method for centering a substrate in a process chamber. In one embodiment, the apparatus comprises a substrate support having a support surface adapted to receive the placement of a substrate and a reference axis substantially perpendicular to the support surface, and a plurality of centering members extending above the support surface. Each centering member is biased into a first position and is movable to a second position by interacting with an opposing member. A movement between the first position and the second position thereby causes each centering member to releasably engage with a peripheral edge of the substrate to push the substrate in a direction toward the reference axis.
    Type: Grant
    Filed: July 11, 2008
    Date of Patent: April 12, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Dale R. Du Bois, Ganesh Balasubramanian, Mark A. Fodor, Chiu Chan, Karthik Janakiraman
  • Patent number: 7925377
    Abstract: Embodiments generally provide an apparatus and method for processing substrates using a multi-chamber processing system (e.g., a cluster tool) that has an increased system throughput, increased system reliability, substrates processed in the cluster tool have a more repeatable wafer history, and also the cluster tool has a smaller system footprint. In one embodiment of the cluster tool, grouping substrates together, and transferring and processing the substrates in groups of two or more, improves system throughput, and reduces the number of moves a robot has to make to transfer a batch of substrates between the processing chambers, thus reducing wear on the robot and increasing system reliability. Embodiments also provide for a method and apparatus that are used to increase the reliability of the substrate transfer process to reduce system down time.
    Type: Grant
    Filed: July 19, 2006
    Date of Patent: April 12, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Tetsuya Ishikawa, Rick J. Roberts, Helen R. Armer, Leon Volfovski, Jay D. Pinson, Michael Rice, David H. Quach, Mohsen S. Salek, Robert Lowrance, William Tyler Weaver, Charles Carlson, Chongyang Wang, Jeffrey Hudgens, Harald Herchen, Brian Lue, John A. Backer
  • Patent number: 7918640
    Abstract: A technology to resolve positional deviations without using a transport robot. An object to be transported placed on a holding stand is rotated, so as to make an error angle ? to be zero; and thereafter, a temporarily placing portion is made to move obliquely to move for an error distance in a horizontal component, thereby locating the center of the object to be transported on the central axis line of the holding stand; and the object to be transported is placed on holding stand. In a case where an orientation of a notch is definite, the holding stand is further rotated by a desired amount. Without using the transport robot, it is possible to resolve an error angle and an error distance.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: April 5, 2011
    Assignee: Ulvac, Inc.
    Inventor: Yoshinori Fujii
  • Patent number: 7900311
    Abstract: In a first aspect, an apparatus for cleaning a thin disk is provided. The apparatus includes a support roller for supporting a rotating wafer within a wafer cleaner. The support roller comprises a guide portion, for receiving an edge of a wafer, having an inclined surface comprising a low-friction material and adapted to allow the wafer edge to slide thereagainst; and an edge-trap portion for retaining the edge of the wafer and having a transverse surface comprising a high-friction material and adapted, when in communication with the edge of the wafer, to resist sliding thereagainst. Numerous other aspects are provided.
    Type: Grant
    Filed: October 4, 2008
    Date of Patent: March 8, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Joseph Yudovsky, Anne-Douce Coulin, Leon Volfovski
  • Patent number: 7891936
    Abstract: A substrate aligner providing minimal substrate transporter extend and retract motions to quickly align substrate without back side damage while increasing the throughput of substrate processing. In one embodiment, the aligner having an inverted chuck connected to a frame with a substrate transfer system capable of transferring substrate from chuck to transporter without rotationally repositioning substrate. The inverted chuck eliminates aligner obstruction of substrate fiducials and along with the transfer system, allows transporter to remain within the frame during alignment. In another embodiment, the aligner has a rotatable sensor head connected to a frame and a substrate support with transparent rest pads for supporting the substrate during alignment so transporter can remain within the frame during alignment. Substrate alignment is performed independent of fiducial placement on support pads.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: February 22, 2011
    Assignee: Brooks Automation, Inc.
    Inventors: Jairo Terra Moura, Martin Hosek, Todd Bottomley, Ulysses Gilchrist
  • Patent number: 7892947
    Abstract: A workpiece machining method includes attaching a workpiece to a workpiece support with the aid of joining means. The workpiece and the workpiece support are joined to one another by an annular joining means. The composite produced is machined. The machined workpiece is separated from the workpiece support.
    Type: Grant
    Filed: October 13, 2006
    Date of Patent: February 22, 2011
    Assignee: Infineon Technologies AG
    Inventors: Stephen Bradl, Walther Grommes, Werner Kröninger, Michael Melzl, Josef Schwaiger, Thilo Stache
  • Patent number: 7859685
    Abstract: A device having a robotic arm within a robot chamber. The robotic arm includes an end effector adapted to handle a wafer. A linear array of charge-coupled devices are provided within the interior of the robot chamber, the linear array positioned to acquire image data from a measurement volume in one or more predetermined locations within the robot chamber.
    Type: Grant
    Filed: February 15, 2008
    Date of Patent: December 28, 2010
    Inventor: Paul E. Fogel
  • Patent number: 7845897
    Abstract: Substrates are transported to accurate positions, while mounted on one hand of a transport robot. When substrates are to be transported from transporting chamber into processing chamber, a first mounting portion of a hand is located immediately above first processing position provided in processing chamber; and the substrate placed on first mounting portion is lifted up. Then, a second mounting portion is located immediately above second processing position by finely moving the hand. Next, the substrate on second mounting portion is lifted up. When the hand is pulled out from between the substrates and first and second processing positions and the substrates are lowered, the substrates are accurately arranged on first and second processing positions. When substrates are mounted on the hand in an order reverse to the above, the substrates in processing chamber can be accurately mounted on first and second mounting portions and be carried out.
    Type: Grant
    Filed: June 17, 2009
    Date of Patent: December 7, 2010
    Assignee: ULVAC, Inc.
    Inventor: Kenji Agou
  • Patent number: 7836845
    Abstract: The present invention provides a substrate carrying and processing apparatus which is intended to reduce the size of the space for storing substrates in each substrate storing section as much as possible so as to downsize the apparatus and increase the number of substrates to be stored therein as well as to enhance the throughput. The substrate carrying and processing apparatus comprises a carrier block S1 which is adapted to position carriers 20 each receiving wafers W therein, a processing block S2 including processing units U1 to U4, 31 used for processing each wafer, a main arms A1 adapted to transfer each wafer to each processing unit, a rack unit U5 which is disposed between the carrier block and the processing block and able to store wafers to be processed, and a transfer arm D adapted to transfer each wafer to the rack unit.
    Type: Grant
    Filed: April 12, 2007
    Date of Patent: November 23, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Mitsuhiro Tanoue, Suguru Enokida
  • Patent number: 7813832
    Abstract: A method and apparatus for positioning a substrate in a substrate processing chamber. The method includes placing the substrate on a substrate transfer blade, moving the substrate transfer blade to a first position located in a transfer chamber, and capturing at least one image that includes at least a portion of the substrate transfer blade and at least a portion of the substrate. The method also includes processing the image to determine a position of a predetermined portion of the substrate transfer blade and a position of predetermined portion of the substrate. The method further includes determining an offset between the position of the predetermined portion of the substrate transfer blade and the position of the predetermined portion of the substrate, and moving the substrate transfer blade to a second position located in the substrate processing chamber, wherein the second position is adjusted to account for the offset.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: October 12, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Satish Sundar
  • Patent number: 7813542
    Abstract: Embodiments of the invention provide a wafer aligning apparatus and a wafer aligning method. In one embodiment, the wafer aligning apparatus comprises an imaging unit adapted to take an image of a wafer being transferred from a load lock chamber to a transfer chamber and adapted to convert the image into digital signals, and a signal processing unit adapted to calculate a center alignment correction value for the wafer by comparing the digital signals to a master image stored in the signal processing unit. The wafer aligning apparatus further comprises a robot controller adapted to receive the center alignment correction value from the signal processing unit and adapted to control a transfer robot in accordance with the center alignment correction value to provide the wafer to a process chamber such that the center of the wafer is substantially aligned.
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: October 12, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Heok-Jae Lee, Sang-Ho Kim, Hyu-Rim Park, Do-In Bae, Kee-Weone Seo, Chang-Woo Woo
  • Patent number: 7806684
    Abstract: A method of a semiconductor process is provided. The semiconductor process at least includes a first high temperature furnace process and a second high temperature furnace process. In the method, the first high temperature furnace process is performed on a first wafer boat carrying at least a wafer. Then, the second high temperature furnace process is performed on a second wafer boat carrying at least the same wafer. In addition, before the second high temperature furnace process is implemented, a moving step is performed, such that a relative position of the wafer in the first wafer boat is different from that of the wafer in the second wafer boat.
    Type: Grant
    Filed: October 2, 2007
    Date of Patent: October 5, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Guang-You Yu
  • Patent number: 7789614
    Abstract: It is an object to provide an aligner for centering a wafer and adjusting an angle of a notch or the like of the wafer while gripping edges of the wafer capable of attaining a shortened takt time and miniaturization of the apparatus by employing a mechanism capable of infinite rotation without being restricted by a rotational range, by eliminating cables and/or tubes at the rotating portion. The link mechanism for causing opening and closing movements of the gripping mechanism for gripping a wafer 1 is supported via a bearing 14 with respect to the link mechanism driving portion for driving the link mechanism so that only the gripping portion and the link mechanism can be rotated.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: September 7, 2010
    Assignee: Kabushiki Kaisha Yaskawa Denki
    Inventors: Keisuke Yoshino, Mitsuaki Hagio, Shin Osaki, Yoshihiro Kusama
  • Patent number: 7763550
    Abstract: A layer is formed on a semiconductor wafer in an apparatus having a processing chamber, a transferring chamber, and a wafer boat. The boat having the semiconductor wafer thereon is rotated in the transferring chamber. While the boat is rotated, the boat is transferred between the transferring chamber and the processing chamber and a reaction gas is provided to the processing chamber to form the layer on the wafer.
    Type: Grant
    Filed: February 18, 2005
    Date of Patent: July 27, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-Sang Yahng, Young-Wook Park, Jae-Jong Han, Jum-Soo Chang
  • Patent number: 7750818
    Abstract: A system and method for introducing a substrate into a process chamber is provided. A presence or absence of a substrate on a stage in an apparatus for manufacturing a semiconductor or a flat panel display may be determined by lift pins used for loading and unloading a substrate, the introduction of another substrate may be prevented and a broken state or the erroneously loaded state of the substrate may be detected. An opening or closing of a gate valve may also be determined, and the introduction of a substrate into the process chamber may be prevented while the gate valve is closed.
    Type: Grant
    Filed: October 18, 2007
    Date of Patent: July 6, 2010
    Assignee: ADP Engineering Co., Ltd.
    Inventor: In Taek Lee
  • Patent number: 7735710
    Abstract: A method and apparatus for supporting a substrate is generally provided. In one aspect, an apparatus for supporting a substrate includes a support plate having a first body disposed proximate thereto. A first pushing member is radially coupled to the first body and adapted to urge the substrate in a first direction parallel to the support plate when the first body rotates. In another aspect, a load lock chamber having a substrate support that supports a substrate placed thereon includes a cooling plate that is moved to actuate at least one alignment mechanism. The alignment mechanism includes a pushing member that urges the substrate in a first direction towards a center of the support. The pushing member may additionally rotate about an axis perpendicular to the first direction.
    Type: Grant
    Filed: November 16, 2004
    Date of Patent: June 15, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Wendell T. Blonigan, Suhail Anwar, Toshio Kiyotake, Hung T. Nguyen
  • Publication number: 20100130102
    Abstract: Embodiments of the present invention generally provide a load cup used in the transfer of substrates in a chemical mechanical polishing system. The load cup includes an improved substrate edge sensing mechanism to ensure a substrate is present and correctly positioned in the load cup for transfer to a polishing head. In one embodiment, a lever actuated edge sensing mechanism is provided. In one embodiment, the edge of a substrate contacts a lever, which contacts a sensor to detect that the substrate is present and correctly positioned for exchange with a polishing head. Embodiments of the present invention provide reliable detection, while reducing contact with the feature side of the substrate during substrate transfer.
    Type: Application
    Filed: November 19, 2009
    Publication date: May 27, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: David James Lischka, Thomas Lawrence Terry
  • Patent number: 7717661
    Abstract: A compact multiple diameter wafer testing device with a footprint of about 33 by 34 inches features on-chuck wafer calibration and integrated cassette-chuck transfer. It includes a five axes wafer handling system, a quick exchange chuck and a fixed through beam sensor fixed. Two of the five axes are provided by an X-Y stage, a third axis is provided by a rotary stage on top of the X-Y stage, a fourth axis belongs to a rotating effector and a fifth axis is provided by motion controlled pin lifters all combined with the X-Y stage. The quick exchange chuck may be easily changed for different wafer diameters and also calibrated by the through beam sensor. The through beam sensor provides on-chuck position calibration of the chucked wafers in conjunction with the X-Y stage and rotary stage. The compact wafer testing device handles wafers between six and twelve inches diameter.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: May 18, 2010
    Assignee: n&k Technology, Inc.
    Inventors: Marc T. Aho, Thaddeus J. Wilson
  • Patent number: 7712228
    Abstract: A method and device for effecting automatic centering of an annular workpiece on a rotating surface having a rotational center involves arbitrarily positioning the workpiece on the slowly rotating surface, applying a support for the workpiece by way of spaced apart contact members that individually contact the workpiece and are synchronously movable in a guided reciprocating manner towards and away from the rotational center of the rotating surface. The contact members are gradually retracted away from the rotational center of the rotating surface until the workpiece is unaffected by the contact members during one revolution of the rotating surface so that the workpiece is centered, and the center of the workpiece coincides with the rotational center of the rotating surface. At least one of the contact members is provided with a mechanism for counteracting a friction force acting on the workpiece.
    Type: Grant
    Filed: January 4, 2008
    Date of Patent: May 11, 2010
    Assignee: Aktiebolaget SKF
    Inventors: Peter Calås, Jacek Kaminski, Håkan Båstedt, Stefan Högnäs
  • Patent number: 7699021
    Abstract: Embodiments generally provide an apparatus and method for processing substrates using a multi-chamber processing system (e.g., a cluster tool) that has an increased system throughput, increased system reliability, substrates processed in the cluster tool have a more repeatable wafer history. In one embodiment, non-orthogonal robot trajectories are used to assure reliable and high speed substrate transfer. In another embodiment, at least one buffering station is used to avoid collision and improve throughput. In another embodiment, optimal positioning of the robots are used to improve throughput.
    Type: Grant
    Filed: January 30, 2006
    Date of Patent: April 20, 2010
    Assignee: Sokudo Co., Ltd.
    Inventors: Leon Volfovski, Tetsuya Ishikawa
  • Patent number: 7695239
    Abstract: The present invention is a pod opener having an end effector which: a. grips and releases a reticle supported above the base of a pod; and b. while transferring the reticle between the base of the pod and an adjacent IC photolithography tool, reorients the reticle. In one embodiment, the pod opener reorients the reticle by rotating it about a single axis disposed substantially perpendicular to its patterned surface. In another embodiment, the present invention is a pod opener which while transferring the reticle between the base of the pod and an adjacent IC photolithography tool, in addition to effecting rotation substantially perpendicular to a reticle's patterned surface, also turns the reticle over so a patterned surface of the reticle is oriented for proper focus within the IC photolithography tool.
    Type: Grant
    Filed: July 14, 2004
    Date of Patent: April 13, 2010
    Assignee: Fortrend Engineering Corporation
    Inventor: Kung Chris Wu
  • Patent number: 7684895
    Abstract: In a first aspect, a wafer loading station adapted to exchange wafer carriers with a wafer carrier transport system comprises a biasing element adapted to urge the end effector of the wafer loading station away from a moveable conveyor of the wafer carrier transport system upon the occurrence of a unscheduled event such as a power failure or an emergency shutdown. In a second aspect, an uninterruptible power supply commands a controller to cause the wafer carrier handler to retract the end effector from the wafer carrier transport system upon the occurrence of the unscheduled event, and provides the power necessary for the same. Numerous other aspects are provided.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: March 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Michael R. Rice, Eric A. Englhardt, Robert B. Lowrance, Martin R. Elliott, Jeffrey C. Hudgens
  • Patent number: 7651315
    Abstract: A load lock chamber and method for transferring large area substrates is provided. In one embodiment, a load lock chamber suitable for transferring large area substrates includes a plurality of vertically stacked single substrate transfer chambers. The configuration of vertically stacked single substrate transfer chambers contributes to reduced size and greater throughput as compared to conventional state of the art, dual slot dual substrate designs. Moreover, the increased throughput has been realized at reduced pumping and venting rates, which corresponds to reduced probability of substrate contamination due to particulates and condensation.
    Type: Grant
    Filed: January 8, 2007
    Date of Patent: January 26, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Wendell T. Blonigan, Yoshiaki Tanase
  • Patent number: 7618225
    Abstract: Disclosed is a six-bar linkage positioning mechanism mounted inside a clean container formed of a locating member and a four-bar linkage and a driving module which, when moved, has the slide of the driving module stopped at the base of the cleaning container and be forced to move upwards relative to a sliding way inside the locating member and to cause the driving link of the driving module to drive the four-bar linkage upwards and to further force the second link of the four-bar linkage to push workpieces in respective insertion slots in a cassette on the clean container. The six-bar linkage positioning mechanism has only one degree of freedom so that it moves workpieces horizontally in the cassette into position by means of contact at a point, preventing contamination due to friction and also improving moving stability.
    Type: Grant
    Filed: November 23, 2004
    Date of Patent: November 17, 2009
    Assignee: Industrial Technology Research Institute
    Inventors: Kuan-Chou Chen, Tzong-Ming Wu
  • Patent number: 7611322
    Abstract: There is described a wafer processing system for thinned wafers that are easily broken during handling. The system protects against breakage during handling and provides for temperature controls during processing.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: November 3, 2009
    Assignee: Intevac, Inc.
    Inventors: Terry Bluck, Stuart Scollay, Edric Tong
  • Patent number: 7551978
    Abstract: The item-carrying system comprises: a robot comprising: a gripping portion for gripping an item; external force detecting means for detecting an external force applied to the gripping portion; opening-degree detecting means for detecting an opening-degree of the gripping portion; autonomous movement means; and receiving/passing motion deciding means for deciding a motion of the robot in an item receiving/passing operation, wherein the receiving/passing motion deciding means comprises: means for determining to start receiving an item that causes the gripping portion to start a receiving motion if the external force detecting means has detected an external force not less than a first predetermined value, when the gripping portion is not gripping an item; and means for determining the completion of a receiving motion on the basis of at least one of an external force and an opening-degree during the receiving motion.
    Type: Grant
    Filed: December 13, 2005
    Date of Patent: June 23, 2009
    Assignee: Honda Motor Co., Ltd.
    Inventors: Taro Yokoyama, Kenichiro Sugiyama
  • Patent number: 7547181
    Abstract: A substrate W rotates about the center of rotations A0 of a spin base 3, while supported by plural support pins 5 in such a manner that the substrate W can freely slide and while held owing to the force of friction which develops between the bottom surface of the substrate W and the support pins 5. After a detection sensor 74 detects, while the substrate W rotates, an edge surface position (eccentric position) of the edge surface of the substrate which is the farthest from the center of rotations A0, a press block 71 pushes this edge surface position to a preset position P1 which is away along the horizontal direction from the center of rotations A0 by a distance which is determined in accordance with the radius of the substrate W. This aligns the eccentric position to the preset position P1 and positions the center W0 of the substrate within a predetermined range from the center of rotations A0.
    Type: Grant
    Filed: October 28, 2005
    Date of Patent: June 16, 2009
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Eiji Fukatsu, Hiroyuki Yashiki, Hideki Adachi, Katsuhiko Miya
  • Patent number: 7499767
    Abstract: In a first aspect, a substrate positioning system includes a plurality of pushers arranged in a spaced relation about a stage adapted to support a substrate. Each pusher is adapted to assume a retracted position so as to permit the substrate to be loaded onto and unloaded from the stage, extend toward an edge of the substrate that is supported by the stage, contact the edge of the substrate, and continue extending so as to cause the substrate to move relative to the stage until the substrate is calibrated to the stage. Numerous other aspects are provided.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: March 3, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Emanuel Beer
  • Patent number: 7482555
    Abstract: A substrate transportation device includes a housing for transporting substrates. The housing is formed of an upper surface, a lower surface, and opposing sidewalls. The housing has a rear opening through which the substrates enter the housing and a front opening through which the substrates exit the housing. A plurality of hollow supporting members are disposed within the housing and affixed to the opposing sidewalls which are formed by a plurality of columns. The hollow supporting members have a plurality of apertures in an upper surface for supplying a medium to a lower surface of the plurality of substrates. A medium supply member transfers the medium toward the hollow supporting member. The medium transferred by the medium supply member is delivered through the apertures in the upper surface of the plurality of hollow supporting members to float the substrates on a cushion of air.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: January 27, 2009
    Assignee: Au Optronics Corporation
    Inventors: Shiang-Chiang Liu, Tsung-Lin Lu, Ying-Chi Chen
  • Patent number: 7476960
    Abstract: According to one embodiment of the invention, a method for auto-boating includes supporting a tape substrate having first and second end portions on a boat, sandwiching the first and second end portions between respective ones of a pair of end sleeves and the boat, coupling a boat clip to the boat, and removing the end sleeves from between the first and second end portions and the boat clip.
    Type: Grant
    Filed: October 2, 2006
    Date of Patent: January 13, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Mark Gerald M Cruz, Jerry G Cayabyab, Edward R De la Rosa
  • Patent number: 7458762
    Abstract: The disclosure is a baking apparatus including a susceptor, lift pins, and guiding blocks positioned at the edge of the susceptor to lead a wafer to be exactly positioned on the susceptor. The guiding blocks are linearly movable within a range of a semidiameter of a plate. A wafer is partially mounted on a wafer guide, being inclined against the susceptor, and thereby may heats uniformly.
    Type: Grant
    Filed: February 9, 2004
    Date of Patent: December 2, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kwang-Ho Han, Sun-Kyu Kim
  • Patent number: 7422411
    Abstract: The present invention provides grasping mechanisms, gripper apparatus/systems, and related methods. Grasping mechanisms that include stops, support surfaces, and height adjusting surfaces to determine three translational axis positions of a grasped object are provided. In addition, grasping mechanisms that are resiliently coupled to other gripper apparatus components are also provided.
    Type: Grant
    Filed: September 6, 2006
    Date of Patent: September 9, 2008
    Assignee: IRM LLC
    Inventors: Robert Charles Downs, Mark Richard Weselak, James Kevin Mainquist
  • Patent number: 7384228
    Abstract: A robot arm is configured to insert and remove an object from a conditioned environment using a carrier connected to the robot arm. The robot arm is positioned in a conditionable vessel, a wall of which vessel may deform when the interior is conditioned. Since the trajectory of the robot arm needs to be accurate, the robot arm is flexibly coupled to the vessel wall such that an orientation of the robot arm is independent from an orientation of the vessel wall. Further, a docking system is disclosed for use in a device including a robot arm having a carrier connected thereto.
    Type: Grant
    Filed: May 24, 2004
    Date of Patent: June 10, 2008
    Assignee: ASML Netherlands B.V.
    Inventors: Leo Wilhelmus Maria Kuipers, Johannes Hendrikus Gertrudis Franssen
  • Patent number: 7357842
    Abstract: A cluster tool for processing a substrate includes a cassette and a processing module including a first processing chamber that is configured to perform a chill process on a substrate, a second processing chamber that is configured to perform a bake process on the substrate, and an input chamber. The first processing chamber, the second processing chamber, and the input chamber are substantially adjacent to each other. The processing module also includes a robot that is configured to receive the substrate in the input chamber and transfer and position the substrate in the first processing chamber and second processing chamber. The robot includes a robot blade, an actuator, and a heat exchanging device. The heat exchanging device includes a chilled transfer arm assembly. The cluster tool also includes a 6-axis articulated robot configured to transfer the substrate between the cassette and the input chamber.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: April 15, 2008
    Assignee: Sokudo Co., Ltd.
    Inventors: Tetsuya Ishikawa, Rick J. Roberts, Helen R. Armer, Leon Volfovski, Jay D. Pinson, Michael Rice, David H. Quach, Mohsen S. Salek, Robert Lowrance, John A. Backer, William Tyler Weaver, Charles Carlson, Chongyang Wang, Jeffrey Hudgens, Harald Herchen, Brian Lue
  • Patent number: 7354335
    Abstract: In accordance with one embodiment of the invention, a load cup mechanism is provided for loading and unloading apparatus such as a CMP apparatus. The load cup mechanism, configured to load a work piece into and to unload a work piece from the apparatus, comprises a load cup arm configured to pivot about an axis between a load position aligned with the apparatus and an off-load position. A work piece platform is coupled to an end of the load cup arm and a plurality of lift fingers and a plurality of guide fingers, configured to support and center a work piece, are spaced about the work piece platform. A plurality of guide posts are spaced apart about the periphery of the work piece platform and are configured to align the work piece platform, in the load position, to the processing apparatus.
    Type: Grant
    Filed: April 9, 2004
    Date of Patent: April 8, 2008
    Assignee: Novellus Systems, Inc.
    Inventors: David T. Marquardt, Joe E. Koeth, James Jed Crawford, James Ekberg, Antoni F. Jakubiec, Michael D. Smigel, John F. Stumpf
  • Patent number: 7346415
    Abstract: The intensity of light of a predetermined wavelength corresponding to the type of a protective tape joined to the surface of a semiconductor wafer is adjusted by a controller, and a holding stage for holding the semiconductor wafer is scanned rotationally. At this time, at a V notch portion for positioning formed in the semiconductor wafer, light is transmitted through the protective sheet covering the surface, which is received by a photoreception sensor. Based on the change in the reception amount of light in the photoreception sensor, the position of a detection site is specified.
    Type: Grant
    Filed: December 8, 2005
    Date of Patent: March 18, 2008
    Assignee: Nitto Denko Corporation
    Inventors: Satoshi Ikeda, Masayuki Yamamoto
  • Patent number: 7329079
    Abstract: A semiconductor wafer processing machine comprising a cassette-placing mechanism having a cassette-placing table for placing a cassette storing a semiconductor wafer, a workpiece take-in/take-out mechanism for taking out the semiconductor wafer stored in the cassette placed on the cassette-placing table and taking the semiconductor wafer into the cassette, a workpiece conveying mechanism for conveying the semiconductor wafer taken out by the workpiece take-in/take-out mechanism, a chuck table mechanism having a chuck table for holding the semiconductor wafer conveyed by the workpiece conveying mechanism, and a processing mechanism for processing the semiconductor wafer held on the chuck table, wherein the cassette-placing mechanism comprises an aligning mechanism for aligning the crystal orientation of the semiconductor wafer, which is situated below the cassette-placing table.
    Type: Grant
    Filed: June 10, 2004
    Date of Patent: February 12, 2008
    Assignee: Disco Corporation
    Inventors: Satoshi Ohkawara, Takaaki Inoue
  • Patent number: 7329299
    Abstract: It is an object of the present invention to provide a plate-shaped work piece transporting apparatus that has a fan, a dust-removal filter disposed above this fan, and a plate-shape porous member disposed above the dust-removal filter. By forming a chamber in which air can accumulate between the porous member and the dust-removal filter, it is possible to suppress air pulsations. Further, by providing support members on the porous member, the plate-shaped work piece can be supported and damage thereto can be avoided when the plate-shaped work piece, which is supported by air from the fan, drops to below a set height.
    Type: Grant
    Filed: July 6, 2004
    Date of Patent: February 12, 2008
    Assignee: Daifuku Co., Ltd.
    Inventors: Susumu Moriya, Shigeto Murayama, Yuichi Morimoto, Yoshiteru Ikehata, Takayoshi Ono