Including Wafer Orienting Means Patents (Class 414/936)
  • Patent number: 7322787
    Abstract: A substrate is supported on a plurality of substrate supporting pins mounted to a second movable member. Next, so as to bring the plurality of substrate supporting pins close to a plurality of substrate supporting pins mounted to a first movable member, the first movable member and second movable member are moved, respectively. After this, with the substrate being supported between the substrate supporting pins, the first movable member and the second movable member are reversed. Then, the first movable member and the second movable member are moved relative to each other such that the respective plurality of substrate supporting pins are spaced apart from one another.
    Type: Grant
    Filed: May 13, 2005
    Date of Patent: January 29, 2008
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Koji Hashimoto
  • Patent number: 7314344
    Abstract: The present invention relates to a substrate-transporting device, including a base, a substrate carrier unit and a shaft unit mounted between the base and the substrate carrier unit. The shaft unit has a supporting shaft and a shaft base to support and control rotation of the substrate carrier unit. The substrate carrier unit includes a bottom carrier seat connected to the supporting shaft, a plurality of first supporting components mounted on a surface of the bottom carrier seat, a top carrier seat having a plurality of vacuum suction nozzles and a plurality of second supporting components, and at least a retractable component sandwiched between the top carrier seat and the bottom carrier seat. The top carrier seat is used to affix and detect the substrate. The retractable component is capable of adjusting the distance between the top carrier seat and the bottom carrier seat.
    Type: Grant
    Filed: August 10, 2004
    Date of Patent: January 1, 2008
    Assignee: Quanta Display Inc.
    Inventors: Ching Chih Chen, Tai Hsing Lee
  • Patent number: 7292909
    Abstract: A variety of maintenance work is performed for each of operation units in a substrate processing apparatus. Doors are provided at given positions on sides of an apparatus space, each of which is provided with an interlock switch. An interlock release unit is provided near each of the doors for disabling the function by the interlock switch, and for placing a specific operation unit of the operation units in the apparatus space in an off state, while holding the other operation units in an on state. Re-operation instruction units are provided at given positions on the sides of the apparatus space for placing the specific operation unit in an on state that has been placed in an off state by the manipulation of the interlock release unit.
    Type: Grant
    Filed: November 19, 2004
    Date of Patent: November 6, 2007
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Tatsuhiko Inada, Hiroyuki Tsujino
  • Patent number: 7287951
    Abstract: A device for aligning a plurality of vertically arranged (upright) disks (20), especially wafer disks during the fabrication of semiconductor chips. Two mounting or bearing elements (30, 32) respectively have individually mounted guide rollers (34) positioned next to each other for each disk position. A drive device (40, 42; 50, 60; 240, 242) is for rotating the disks in relation to their azimuthal positions. A device (80) is provided for detecting the azimuthal positions of notches or indentations (22) arranged in the outer circumference in the disks (20). A device is provided for controlling the drive with the signals of the detection device (80) for detecting the azimuthal positions of the notches (22). The drive for rotating the disks in relation to their azimuthal positions has an individually driven drive roller element (42) for each disk (20) mounted on the stationary axis.
    Type: Grant
    Filed: July 9, 2002
    Date of Patent: October 30, 2007
    Assignee: Tec-Sem AG
    Inventor: Jakob Blattner
  • Patent number: 7250084
    Abstract: A downward mechanism for support pins is applicable to a reactor of removable type. Support pins are located on the base of the reactor, and each support pin has a base thereunder. The downward mechanism has an elevator mechanism and a board fixed thereto. The board has several holes for the pin and the base to pass respectively therethrough. Each hole elongates into a slit allowing each of the support pins, only, to pass respectively therethrough.
    Type: Grant
    Filed: April 15, 2004
    Date of Patent: July 31, 2007
    Assignee: Chunghwa Picture Tubes, Ltd
    Inventors: Ching-Hong Wong, Hsi-Ming Chang
  • Patent number: 7240580
    Abstract: A single degree of freedom positioner resistant to axial and rotational motion on two axes, and to rotation around a third axis, but permitting axial movement along the third axis. The axes are orthogonally related. The positioner is characterized by support of a stage by a plurality of stiffly flexible end supported shear webs that bend in a rolling motion to enable the said axial motion.
    Type: Grant
    Filed: November 2, 2004
    Date of Patent: July 10, 2007
    Inventor: Michael R. Everman
  • Patent number: 7214283
    Abstract: A working range setting method of a bonding device includes identifying a model of a first substrate, extracting a set value corresponding to a working range of working elements according to the identified model, and setting the working range of the corresponding working elements with the extracted set value.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: May 8, 2007
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Sang Seok Lee, Sang Ho Park
  • Patent number: 7181314
    Abstract: An industrial robot that has uses a simulated force vector to allow a work piece held by the robot end effector to be mated with a work piece whose location and orientation is not precisely known to the robot. When the end effector makes contact with the location and orientation in which the other work piece is held the robot provides a velocity command to minimize the force of the contact and also provides a search pattern in all directions and orientations to cause the end effector to bring the work piece it is holding in contact with the other work piece. The search pattern and the velocity command are continued until the two work pieces mate.
    Type: Grant
    Filed: November 24, 2003
    Date of Patent: February 20, 2007
    Assignees: ABB Research Ltd., ABB Automation Technologies AB Robotics
    Inventors: Hui Zhang, Zhongxue Gan, Torgny Brogardh, Jianjun Wang
  • Patent number: 7179334
    Abstract: A semiconductor process system (10) includes a measuring section (40), an information processing section (51), and a control section (52). The measuring section (40) measures a characteristic of a test target film formed on a target substrate (W) by a semiconductor process. The information processing section (51) calculates a positional correction amount of the target substrate (W) necessary for improving planar uniformity of the characteristic, based on values of the characteristic measured by the measuring section (40) at a plurality of positions on the test target film. The control section (52) controls a drive section (30A, 32A) of a transfer device (30), based on the positional correction amount, when the transfer device (30) transfers a next target substrate (W) to the support member (17) to perform the semiconductor process.
    Type: Grant
    Filed: March 19, 2002
    Date of Patent: February 20, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Koichi Sakamoto, Yamato Tonegawa, Takehiko Fujita
  • Patent number: 7158857
    Abstract: An alignment tool, method and system are provided for aligning a cassette handler to a robot blade in a workpiece handling system, in which the tool comprises a frame or fixture adapted to be supported by the cassette handler support surface, in which the frame has one or more distance sensors positioned to measure the distance of a workpiece or robot blade from the sensor or a predetermined reference point or surface. In a preferred embodiment, the frame emulates a workpiece cassette and the distance sensors provide a numerical output of the distance to the workpiece. As explained in greater detail below, these distance measurements facilitate accurately leveling and aligning the cassette handler support surface relative to a workpiece supported by the robot blade such that when the frame is replaced by an actual workpiece cassette, the workpiece cassette will also be level and aligned with respect to the robot blade and the workpiece held by the blade.
    Type: Grant
    Filed: May 6, 2005
    Date of Patent: January 2, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Ronald Vern Schauer, Alan Rick Lappen, David L. Tuttle
  • Patent number: 7153088
    Abstract: A method and apparatus for transferring a substrate is provided. In one embodiment, an apparatus for transferring a substrate includes at least one end effector. A disk is rotatably coupled to the end effector. The disk is adapted to rotate the substrate relative to the end effector. The end effector may additionally include a sensor coupled thereto. The sensor is adapted to detect an indicia of orientation of the substrate supported by the end effector. In another embodiment, a method for transferring a substrate includes rotating the substrate disposed on an end effector and detecting an indicia of orientation of the substrate.
    Type: Grant
    Filed: May 27, 2004
    Date of Patent: December 26, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Peter Reimer, Jayesh Patel
  • Patent number: 7153087
    Abstract: A centering unit comprises a plate, centering mechanism, link mechanism, and cylinder mechanism. The plate vertically divides the interior of a frame. The support table is located substantially on the center of the plate. The centering mechanism can center each wafer on the support table. Centering plates of the centering mechanism are located on either side of the support table and have engaging surfaces and that extend along the outer peripheral surface of the wafer. The link mechanism and the cylinder mechanism serve to extend and contract the space between the centering plates.
    Type: Grant
    Filed: January 27, 2003
    Date of Patent: December 26, 2006
    Assignees: Samsung Electronics Co., Ltd., Tokyo Electron Limited
    Inventors: Ki Sang Kang, Shuji Akiyama, Hiroki Hosaka
  • Patent number: 7151981
    Abstract: In a first aspect, a substrate positioning system includes a plurality of pushers arranged in a spaced relation about a stage adapted to support a substrate. Each pusher is adapted to assume a retracted position so as to permit the substrate to be loaded onto and unloaded from the stage, extend toward an edge of the substrate that is supported by the stage, contact the edge of the substrate, and continue extending so as to cause the substrate to move relative to the stage until the substrate is calibrated to the stage. Numerous other aspects are provided.
    Type: Grant
    Filed: February 19, 2004
    Date of Patent: December 19, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Emanuel Beer
  • Patent number: 7139638
    Abstract: A thermal processing unit is connected to a substrate position detector, which is in turn connected to a bake unit controller. The thermal processing unit includes a temperature control plate and a lifting device. The temperature control plate and lifting device are connected to the bake unit controller. The operations of the temperature control plate and lifting device are controlled by the bake unit controller. A pressure measuring pipe is provided at a lower part of at least one of a plurality of pin inserting holes in the temperature control plate. The pressure measuring pipe is connected to a low differential pressure sensor. The low differential pressure sensor detects the pressure in an airflow exhausted from a space surrounded by a substrate and an upper face of the temperature control plate via the pressure measuring pipe.
    Type: Grant
    Filed: September 15, 2004
    Date of Patent: November 21, 2006
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Toshihiro Nakajima, Mitsuhiro Masuda, Yasuhiro Shiba, Yasuhiro Fukumoto
  • Patent number: 7108476
    Abstract: A mechanical apparatus and method are disclosed for orienting and positioning semiconductor wafers while avoiding contamination of elements on the faces thereof, by only contacting the peripheries thereof. The apparatus may include a frame for wafer supports and a semiconductor wafer gripping arm. The gripping arm is mounted on a translator for movement in X, Y, and Z directions to engage and move wafers in, from, and between supports. The gripping arm comprises a rigid structure with a plurality of semiconductor support wheels mounted thereon to support a wafer only around its periphery. A drive wheel is provided to orient a supported wafer rotationally while it is being supported around its periphery. A detector is provided to detect orientation of the wafer relative to a notch or other position mark on its periphery.
    Type: Grant
    Filed: October 24, 2003
    Date of Patent: September 19, 2006
    Assignee: Recif Technologies SAS
    Inventors: Pierre Astegno, Ekaterina Esteve, Alain Gaudon
  • Patent number: 7097410
    Abstract: The orientation of a wafer with respect to the surface of an electrolyte is controlled during an electroplating process. The wafer is delivered to an electrolyte bath along a trajectory normal to the surface of the electrolyte. Along this trajectory, the wafer is angled before entry into the electrolyte for angled immersion. A wafer can be plated in an angled orientation or not, depending on what is optimal for a given situation. Also, in some designs, the wafer's orientation can be adjusted actively during immersion or during electroplating, providing flexibility in various electroplating scenarios.
    Type: Grant
    Filed: March 4, 2003
    Date of Patent: August 29, 2006
    Assignee: Novellus Systems, Inc.
    Inventors: Jonathan D. Reid, Steven T. Mayer, Seshasayee Varadarajan, David C. Smith, Evan E. Patton, Dinesh S. Kalakkad, Gary Lind, Richard S. Hill
  • Patent number: 7089677
    Abstract: A novel method for determining whether substrates are correctly positioned on a substrate support in a semiconductor substrate processing or measuring tool for optimum processing or measuring of the substrates. The method includes providing a control substrate; providing alignment marks on the substrate; determining a homing position for the alignment marks on the control substrate wherein the position of the control substrate corresponds to a homing position for optimum processing or measuring of actual substrates; periodically testing the position of the control substrate on the substrate support as facilitated by the substrate transfer and/or substrate positioning equipment of the tool; and determining whether the position of the alignment marks on the control substrate, with respect to the substrate support, stray outside an accepted deviation range.
    Type: Grant
    Filed: May 5, 2004
    Date of Patent: August 15, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ching-Shan Lu, Chien-Yao Kao, Fu-Su Lee
  • Patent number: 7052229
    Abstract: A wafer or some other article is aligned while being held by an end-effector.
    Type: Grant
    Filed: June 9, 2004
    Date of Patent: May 30, 2006
    Assignee: Tru-Si Technologies Inc.
    Inventors: Alexander J. Berger, Frank E. Kretz
  • Patent number: 7044703
    Abstract: Improvement of the workability in an automatic guided vehicle which carries and transfers a semiconductor wafer between stations in a semiconductor manufacturing plant etc. An automatic guided vehicle 1 is moved to an objective station after storing a wafer 10 in a buffer cassette with a transfer equipment 3, the wafer 10 in a cassette 5 is transferred to a positioning device 4 by taking it up with the transfer equipment 3, an ID information of the wafer 10 is read by a OCR 43 after truing up the position and direction of the wafer 10 by the positioning device 4, the wafer 10 whose ID information is read is retained with a transfer hand 31, another wafer 10 placed on the station then is removed by the other transfer hand 31, the wafer 10 whose ID information is read is transferred to the station in the predetermined position and direction and the ID information is controlled to transmit to the station.
    Type: Grant
    Filed: April 17, 2002
    Date of Patent: May 16, 2006
    Assignees: Murata Kikai Kabushiki Kaisha, Tokyo Electron Limited
    Inventors: Isao Fukuda, Shuji Akiyama
  • Patent number: 7039501
    Abstract: Generally, a method of determining a position of a robot is provided. In one embodiment, a method of determining a position of a robot comprises acquiring a first set of positional metrics, acquiring a second set of positional metrics and resolving the position of the robot due to thermal expansion using the first set and the second set of positional metrics. Acquiring the first and second set of positional metrics may occur at the same location within a processing system, or may occur at different locations. For example, in another embodiment, the method may comprise acquiring a first set of positional metrics at a first location proximate a processing chamber and acquiring a second set of positional metrics in another location. In another embodiment, substrate center information is corrected using the determined position of the robot.
    Type: Grant
    Filed: April 3, 2003
    Date of Patent: May 2, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Marvin L. Freeman, Jeffrey C. Hudgens, Damon Keith Cox, Chris Holt Pencis, Michael Rice, David A. Van Gogh
  • Patent number: 7008802
    Abstract: A method and apparatus is provided for determining workpiece drift from its nominal or intended position. The apparatus includes two proportionate sensors, each of which gives an output reading that depends upon how much of the sensor beam is blocked by an edge of the workpiece. A computer can calculate positional drift based upon these readings. Also disclosed is a method for aligning proportionate sensors to be parallel to one another.
    Type: Grant
    Filed: May 29, 2001
    Date of Patent: March 7, 2006
    Assignee: ASM America, Inc.
    Inventor: Zhimin Lu
  • Patent number: 7008884
    Abstract: A transfer robot (5) for thin substrate capable of efficiently detecting the stored state of thin substrates and an inspection method for thin substrate capable of accurately detecting the stored state of thin substrates; the robot (5), comprising an inspection camera (1) for detecting the stored state of the thin substrates (3) in a storage cassette (2), wherein the plurality of thin substrates (3) stored in the storage cassette (2) are carried out from the storage cassette (2) by the robot.
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: March 7, 2006
    Assignee: Kabushiki Kaisha Yaskawa Denki
    Inventors: Hitoshi Wakizako, Kazunari Shiraishi, Yukito Sagasaki, Ken-ichi Motonaga, Kazunori Hino, Hiroki Sanemasa
  • Patent number: 7004716
    Abstract: The invention relates to a simple and cost-effective method for aligning substrates. In order to achieve this, the invention provides a device for aligning disc-shaped substrates, in particular semiconductor wafers, comprising an alignment detection unit, at least one first support for receiving the substrate, which forms an oblique plane in relation to the horizontal, a stop against which the substrate can be displaced as a result of the oblique angle and a rotational device for rotating the substrate.
    Type: Grant
    Filed: November 29, 2000
    Date of Patent: February 28, 2006
    Assignee: Steag RTP Systems GmbH
    Inventors: Ottmar Graf, Paul Mantz
  • Patent number: 6986636
    Abstract: A device for positioning disk-shaped objects (1) to inspect the front and rear sides of disk-shaped objects and to reduce the negative effect of large-area contact between the holder and the disk-shaped object. The device comprises a rotatable table (24) which can be adjusted in the x-y direction in an adjustment plane and is intended to accomodate a platform (3). A fork-shaped frame (8) is mounted on the platform so as to be rotatable about an axis of rotation which is aligned perpendicular to the surface of the platform (3) and the angle of tilt of which can be set relative to the adjustment plane. At its fork ends, the fork-shaped frame (8) has another axis of rotation which is aligned perpendicular to the axis of rotation of the fork-shaped frame (8) and about which a frame-shaped object holder (9) is mounted so as to be rotatable in the fork-shaped frame (8) for the purpose of turning the object.
    Type: Grant
    Filed: May 10, 2001
    Date of Patent: January 17, 2006
    Assignee: Brooks Automation, Inc.
    Inventors: Joachim Konig, Steffen Kruger, Bjorn Zimmer, Manfred Heinze
  • Patent number: 6973370
    Abstract: A substrate processing apparatus can align a substrate with a high precision and a high speed by monitoring a mark formed on a surface of the substrate; operating an amount of misalignment between the center of the substrate and a rotation center of a substrate support member; determining a presence of the misalignment and adjusting the substrate such that the center of the substrate coincides with the rotation center of the substrate support member.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: December 6, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Kazuhiko Ito, Kazutoshi Ishimaru, Jun Ookura, Michio Kinoshita, Yuichi Douki
  • Patent number: 6961639
    Abstract: A device and process are provided for identifying characters inscribed on a semiconductor wafer containing an orientation mark. A semiconductor wafer having characters inscribed on a surface near its periphery is supported about its periphery between three rotary supports mounted on a grasping arm. An orientation mark on the periphery of the wafer is located adjacent the inscribed characters. At least one of the three rotary supports is rotatably driven to orient the wafer such that the orientation mark is placed in a determined position. An optical reflector is positioned in a spatial zone in proximity to and above the characters to be identified. The characters to be identified are illuminated by a light beam reflected by the optical reflector. The characters reflect the light, which may be observed by an optical imager, such as a camera. An optical recognition subsystem may then be used to identify the characters.
    Type: Grant
    Filed: January 28, 2003
    Date of Patent: November 1, 2005
    Assignee: Recif, Societe Anonyme
    Inventors: Alain Gaudon, Pierre Astegno, Mohammed El Jarjini
  • Patent number: 6935830
    Abstract: A wafer or some other article is aligned while being held by an end-effector.
    Type: Grant
    Filed: July 13, 2001
    Date of Patent: August 30, 2005
    Assignee: Tru-Si Technologies, Inc.
    Inventors: Alexander J. Berger, Frank E. Kretz
  • Patent number: 6932558
    Abstract: A prealigner for aligning a disk-like work piece such as a silicon wafer, by centering and rotationally orienting the work piece. The prealigner centers and orients the work piece while only touching the periphery of the work piece using a plurality of wafer rollers and an air bearing table to support the weight of the wafer. The prealigner can accept a range of different diameters of work piece without modification because the wafer rollers are radially moveable until they contact and center the work piece. The prealigner includes a plurality of simple optical sensors for detecting a notch in the work piece and the work piece is rotationally oriented based on a notch detection signal from the optical sensors.
    Type: Grant
    Filed: July 3, 2002
    Date of Patent: August 23, 2005
    Inventor: Kung Chris Wu
  • Patent number: 6932557
    Abstract: The present invention provides grasping mechanisms, gripper apparatus/systems, and related methods. Grasping mechanisms that include stops, support surfaces, and height adjusting surfaces to determine three translational axis positions of a grasped object are provided. In addition, grasping mechanisms that are resiliently coupled to other gripper apparatus components are also provided.
    Type: Grant
    Filed: July 14, 2003
    Date of Patent: August 23, 2005
    Assignee: IRM, LLC
    Inventors: Robert Charles Downs, Mark Richard Weselak, James Kevin Mainquist
  • Patent number: 6934661
    Abstract: A method for detecting wafer flat shift, and an apparatus (500) having two sensors (506a) and (506b) in a power supply circuit (600) for wafer fabrication equipment, the sensors (506a) and (506b) detecting a shift in wafer flat position from a desired position and shutting off the wafer fabrication equipment.
    Type: Grant
    Filed: December 16, 2003
    Date of Patent: August 23, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jean-Hua Yuen, Po-Ming Chen, Ming-Ji Chiang, Ji-Shen Yang
  • Patent number: 6927181
    Abstract: A transfer robot (5) for thin substrate capable of efficiently detecting the stored state of thin substrates and an inspection method for thin substrate capable of accurately detecting the stored state of thin substrates; the robot (5), comprising an inspection camera (1) for detecting the stored state of the thin substrates (3) in a storage cassette (2), wherein the plurality of thin substrates (3) stored in the storage cassette (2) are carried out from the storage cassette (2) by the robot.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: August 9, 2005
    Assignee: Kabushiki Kaisha Yaskawa Denki
    Inventors: Hitoshi Wakizako, Kazunari Shiraishi, Yukito Sagasaki, Ken-ichi Motonaga, Kazunori Hino, Hiroki Sanemasa
  • Patent number: 6925355
    Abstract: The present invention provides a vacuum stage device that moves a substrate to be processed in a vacuum environment. In a substrate transfer device in accordance with the present invention, a wafer mounted to a wafer platen is moved in a vacuum processing chamber. This substrate transfer device includes a first driving mechanism for moving the wafer platen in a Y1 direction, and a second driving mechanism that is provided in the vacuum processing chamber and linearly reciprocates the wafer platen in X1 and X2 directions at a high speed.
    Type: Grant
    Filed: August 27, 2002
    Date of Patent: August 2, 2005
    Assignee: Sumitomo Heavy Industries, Ltd.
    Inventors: Hidehiko Mori, Yoshiyuki Tomita, Kazutoshi Sakaki
  • Patent number: 6925356
    Abstract: An alignment tool, method and system are provided for aligning a cassette handler to a robot blade in a workpiece handling system, in which the tool comprises a frame or fixture adapted to be supported by the cassette handler support surface, in which the frame has one or more distance sensors positioned to measure the distance of a workpiece or robot blade from the sensor or a predetermined reference point or surface. In a preferred embodiment, the frame emulates a workpiece cassette and the distance sensors provide a numerical output of the distance to the workpiece. As explained in greater detail below, these distance measurements facilitate accurately leveling and aligning the cassette handler support surface relative to a workpiece supported by the robot blade such that when the frame is replaced by an actual workpiece cassette, the workpiece cassette will also be level and aligned with respect to the robot blade and the workpiece held by the blade.
    Type: Grant
    Filed: November 25, 2002
    Date of Patent: August 2, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Ronald Vern Schauer, Alan Rick Lappen, David L. Tuttle
  • Patent number: 6916147
    Abstract: A substrate storage cassette and a method of orienting a substrate disposed therein are provided. In one embodiment, the substrate storage cassette includes a plurality of flanges pairs disposed between a first lateral sidewall coupled in a spaced-apart relation to a second lateral sidewall. Each of the flange pairs adapted to support a substrate thereon. At least a first alignment feature disposed between the flange pair and adapted to mate with an orientation feature of the substrate when the substrate is in a predefined orientation.
    Type: Grant
    Filed: October 25, 2002
    Date of Patent: July 12, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Dongchoon Suh, Wendell T. Blonigan, Rolf A. Guenther
  • Patent number: 6914337
    Abstract: A calibration wafer which is suitable for calibrating alignment of a transfer robot blade with respect to wafers in a loadlock chamber or input shuttle. The calibration wafer includes a circular wafer body on which is provided a pair of spaced-apart blade alignment lines which are used to properly align the transfer robot blade. The invention further includes a calibration kit for calibrating alignment of a polishing head with a pedestal, including a base plate for placement on the pedestal; a calibration plate for placement on the base plate; and a calibration circle provided on the calibration plate for aligning the polishing head with the pedestal.
    Type: Grant
    Filed: November 4, 2003
    Date of Patent: July 5, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Chih-Nan Chuang, Tien-Chen Hu, Tro-Hsu Lin, Cheng-Fang Chang
  • Patent number: 6906790
    Abstract: Robotic reticle manipulators are disclosed for use in holding and conveying, with good stability, thin, circular reticles as used in charged-particle-beam microlithography. A manipulator embodiment includes at least one arm configured for executing movements in the X-, Y-, and Z-directions. Connected distally to the at least one arm is a U-shaped fork (as an exemplary reticle-support member) defining recessed surfaces and vacuum ports for holding the reticle at the reticle's narrow handling zone located along the periphery of the reticle. Each vacuum port includes an upwardly extending lip that defines, on its “upward”-facing surface, a respective reticle-contact surface. Three such vacuum ports are provided on the fork and are nearly equidistantly separated from one another. Thus, as the reticle is being held and conveyed by the manipulator, the reticle is securely held to prevent reticle damage.
    Type: Grant
    Filed: August 5, 2003
    Date of Patent: June 14, 2005
    Assignee: Nikon Corporation
    Inventors: Hidekazu Kikuchi, Akihiro Yamamoto
  • Patent number: 6897463
    Abstract: A wafer mapping sensor assembly includes a housing, and an imaging array positioned therein. Imaging optics are positioned relative to the array to focus an image upon the array. An illumination source is configured to direct illumination toward the wafer, where such illumination is reflected by the wafer. An optical element is interposed between the source and the wafer. The optical element directs the illumination to reduce the effects of undesirable illumination.
    Type: Grant
    Filed: July 8, 2002
    Date of Patent: May 24, 2005
    Assignee: CyberOptics Semiconductor, Inc.
    Inventors: Samuel J. Cole, Ric DeHoff, Edward D. Seeberger Jr.
  • Patent number: 6887026
    Abstract: A system for handling a semiconductor product container contains a handler for transporting and positioning the container. A loading/unloading position requires first support members forming part of a loading/unloading device engaging with second support members forming part of the container. The system contains a detector for detecting reference point positions of the container and a localization device for computing the position of the container from the detected reference point positions, and allows for handling the containers without the need of mounting any support pins on each storage location.
    Type: Grant
    Filed: June 23, 2003
    Date of Patent: May 3, 2005
    Assignee: Infineon Technologie SC300 GmbH & Co. KG
    Inventor: Gregor Kübart
  • Patent number: 6875306
    Abstract: A vacuum processing device includes at least one vacuum processing chamber for performing predetermined treatments to a wafer being transferred to a predetermined position within the chamber, an atmospheric transfer equipment for transferring a wafer in atmospheric air to a vacuum transfer equipment which is disposed within a vacuum transfer chamber connecting the atmospheric air and the vacuum processing chambers for transferring the wafer received from the atmospheric transfer equipment to the predetermined position within the vacuum processing chamber, and wafer position sensors disposed near the ingress path leading into the processing chamber for detecting the displacement of the wafer being transferred.
    Type: Grant
    Filed: August 15, 2002
    Date of Patent: April 5, 2005
    Assignee: Hitachi High-Technologies Corporation
    Inventor: Naoyuki Tamura
  • Patent number: 6866468
    Abstract: The device comprises a carrier for a workpiece; the carrier can be moved along a vertical and a horizontal axis by use of a drive mechanism and has an approximately planar contact surface for the workpiece, whereby the workpiece can be held on the contact surface by a contact mechanism of the carrier, especially vacuum, a retaining ring on the carrier encircling the contact surface and projecting downwards beyond the contact surface and having an inner diameter which is slightly larger than the outer diameter of the workpiece. At least three centering cams are arranged on a circle, the centering cams can be moved synchronously and in a radial direction by use of a centering drive, the centering cams having a supporting surface oriented on the top.
    Type: Grant
    Filed: March 13, 2002
    Date of Patent: March 15, 2005
    Assignee: Peter Wolters CMP-Systeme GmbH & Co. KG
    Inventors: Helge Möller, Thomas Keller
  • Patent number: 6860027
    Abstract: The device (1) picks up and aligns a sawframe (30) for handling and aligning silicon wafers (31). The device (1) includes at least one resiliently moveable locating arm (11) which is adapted to engage co-operably with a notch (35, 36) on the periphery of the sawframe (30) when the device (1) is moved into contact therewith. The sawframe (30) is thus urged into alignment with the device (1), and may be held in position relative to the device (1).
    Type: Grant
    Filed: May 20, 2002
    Date of Patent: March 1, 2005
    Assignee: Wentworth Laboratories Limited
    Inventors: John Joseph Fitzpatrick, John Bysouth
  • Patent number: 6854948
    Abstract: A stage used, e.g., in semiconductor fabrication, includes a two substrate buffer station and a movable chuck. The buffer station, in one embodiment is fixed, i.e., non-movable relative to the stage. In another embodiment, the support elements of the buffer station may move in unison vertically or horizontally. In another embodiment, a pair of the support elements horizontally moves toward another pair of support elements to reduce the necessary horizontal motion of the chuck. For example, an unprocessed substrate is loaded onto the top supporting elements of the buffer station, while processed substrates are unloaded from the bottom supporting element of the buffer station. The movable chuck is used to remove the unprocessed substrates from the buffer station and to place the processed substrates on the buffer station.
    Type: Grant
    Filed: August 15, 2002
    Date of Patent: February 15, 2005
    Assignee: Nanometrics Incorporated
    Inventors: Blaine R. Spady, Dan M. Colban, Robert S. Kearns
  • Patent number: 6856858
    Abstract: A transfer chamber of a semiconductor processing tool is adapted to couple to plural process and/or load lock chambers. A reduced number of substrate sensors are provided in the transfer chamber to confirm the presence and/or positioning of substrates with respect to the process and/or load lock chambers. In one embodiment, each process and/or load lock chamber may share sensors with adjacent chambers and with chambers that are not adjacent.
    Type: Grant
    Filed: June 20, 2003
    Date of Patent: February 15, 2005
    Assignee: Applied Materials, Inc.
    Inventor: Shinichi Kurita
  • Patent number: 6845292
    Abstract: A transfer apparatus (42) for a semiconductor processing system includes a transfer member (44) having a support portion (48) to place a target substrate (W) thereon, and a drive unit (68) for driving the transfer member (44). A reference mark (54) is disposed adjacent to the support portion (48). The target substrate (W) has optically observable first and second portions (84, 86). A storage section (63) stores a normal image that shows a positional correlation between the reference mark (54) and the first and second portions (84, 86), obtained when the target substrate (W) is placed on the support portion (48) at a normal position. An image pick-up device (62A) takes a detection image that shows a positional correlation between the reference mark (54) and the first and second portions (84, 86), when the transfer member (44) transfers the target substrate (W).
    Type: Grant
    Filed: January 23, 2002
    Date of Patent: January 18, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Lin Sha, Yicheng Li
  • Patent number: 6835039
    Abstract: A method and apparatus for batch processing of semiconductor wafers in a furnace advantageously allow for wafers to be supported for processing at very high temperatures (e.g., about 1350° C.). Each wafer is supported during processing by a wafer support with full perimeter support, such as a ring or plate. The wafers, on their supports, are removable and vertically spaced apart in a wafer support holder. A transfer station is provided wherein, during loading, a wafer is placed on a wafer support and, during unloading, the wafer is separated from the wafer support. A FOUP (Front Opening Unified Pod) is adapted to accommodate a plurality of wafer supports and to accommodate the transfer station. The wafer support, with a wafer supported on it, is transferred from the transfer station to a wafer support holder for processing.
    Type: Grant
    Filed: March 13, 2003
    Date of Patent: December 28, 2004
    Assignee: ASM International N.V.
    Inventors: Jannes Remco van den Berg, Edwin den Hartog
  • Patent number: 6828235
    Abstract: It is an object of the present invention to adjust the transfer environment of a substrate in order to prevent contamination of the substrate surface by impurities. A semiconductor manufacturing apparatus comprises a load-lock chamber 1 in which substrate exchange with the outside is performed, a wafer process chamber 2 in which the wafer is subjected to a predetermined processing, and a transfer chamber 3 in which the wafer is transferred between the load-lock chamber 1 and the wafer process chamber 2. In a semiconductor manufacturing method in which this semiconductor manufacturing apparatus is used to treat a substrate, an inert gas (N2) is supplied to and exhausted from the load-lock chamber 1, the transfer chamber 3, and the wafer process chamber 2 while the substrate is being transferred from the load-lock chamber 1 to the wafer process chamber 2 through the transfer chamber 3, and the substrate transfer is carried out with a predetermined pressure maintained.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: December 7, 2004
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Satoshi Takano
  • Publication number: 20040238324
    Abstract: A wafer carrying apparatus 20 for carrying intermediate products comprises: a conveyer 40 for carrying the intermediate products in the carrying direction; and a plurality of platforms 41 arranged in the conveyer 40 along the carrying direction of the intermediate products on the conveyer 40, each platform 41 being capable of mounting at least one intermediate product at a position in a direction crossing the carrying direction of the intermediate products on the conveyer 40.
    Type: Application
    Filed: March 3, 2004
    Publication date: December 2, 2004
    Inventors: Hisashi Fujimura, Shuji Tanaka, Yoshitake Kobayashi, Yasutsugu Aoki
  • Patent number: 6825486
    Abstract: A wafer mapping system includes an imaging array, at least one lighting module and a controller coupled to the imaging array and the lighting module. The controller is adapted to receive information relative to an initial acquired wafer image and adjust illumination from the lighting module based upon the initial acquired wafer image, and acquire a subsequent wafer image using the adjusted illumination.
    Type: Grant
    Filed: July 8, 2002
    Date of Patent: November 30, 2004
    Assignee: CyberOptics Corporation
    Inventors: Samuel J. Cole, Ric DeHoff, Edward D. Seeberger, Jr.
  • Patent number: 6824343
    Abstract: A method and apparatus for supporting a substrate is generally provided. In one aspect, an apparatus for supporting a substrate includes a support plate having a first body disposed proximate thereto. A first pushing member is radially coupled to the first body and adapted to urge the substrate in a first direction parallel to the support plate when the first body rotates. In another aspect, a load lock chamber having a substrate support that supports a substrate placed thereon includes a cooling plate that is moved to actuate at least one alignment mechanism. The alignment mechanism includes a pushing member that urges the substrate in a first direction towards a center of the support. The pushing member may additionally rotate about an axis perpendicular to the first direction.
    Type: Grant
    Filed: February 22, 2002
    Date of Patent: November 30, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Wendell T. Blonigan, Suhail Anwar, Toshio Kiyotake, Hung T. Nguyen
  • Patent number: 6821912
    Abstract: A substrate processing pallet has a top surface and a plurality of side surfaces. The top surface has at least one recess adapted to receive a substrate. The recess includes a support structure adapted to contact a portion of a substrate seated in the recess and a plurality of apertures each adapted to accommodate a lift pin. Lift pins can extend through the apertures initially to support the substrate and retract to deposit the substrate onto the support structure. A side surface includes a process positioning feature adapted to engage with a feature located in a process chamber to position the pallet. A side surface includes a positioning feature adapted to engage with an end effector alignment feature to position the pallet with respect to the end effector during transport. A side surface includes support features adapted to engage with end effector support features to support the pallet during transport.
    Type: Grant
    Filed: July 27, 2001
    Date of Patent: November 23, 2004
    Assignee: NEXX Systems Packaging, LLC
    Inventors: Martin P. Klein, David Felsenthal, Piero Sferlazzo