Including Wafer Orienting Means Patents (Class 414/936)
  • Publication number: 20040219006
    Abstract: Embodiments of the present invention provide an apparatus for constraining and supporting the lift pins to prevent or minimize lateral movement of the lift pins that causes substrate hand-off problems and associated degradation in substrate processing characteristics and results. In one embodiment, a lift pin assembly for manipulating a substrate above a support surface of a substrate support comprises a plurality of lift pins movable between an up position and a down position. The lift pins include top ends and bottom ends. The top ends are configured to be lifted above the support surface of the substrate support to contact a bottom surface of the substrate in the up position. The top ends are configured to be positioned at or below the support surface of the substrate support in the down position.
    Type: Application
    Filed: May 1, 2003
    Publication date: November 4, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Toan Q. Tran, Daniel S. Herkalo, Yen-Kun V. Wang, Jin Ho Lee, Dong Hyung Lee, Jang Seok Oh, Won B. Bang
  • Patent number: 6802934
    Abstract: Two load lock chambers 130 and 132 are arranged between a first transfer chamber 122 and a second transfer chamber 133. Each of the load lock chambers is capable of accommodating a single wafer W. The first transfer chamber 122 is provided with a first transfer unit 124 having two substrate holders 124a, 124b each capable of holding a single object to be processed, in order to transport the wafer W among a load port site 120, the first load lock chamber 130, the second load lock chamber 132 and a positioning unit 150. The second transfer chamber 133 is provided with a second transfer unit 156 having two substrate holders 156a, 156b each capable of holding the single object to be processed, in order to transport the wafer between the first load lock chamber 130, the second load lock chamber 132 and respective vacuum processing chambers 158 to 164. Since the volume of each load lock chamber can be minimized, it is possible to perform the prompt control of atmospheres in the load lock chambers.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: October 12, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Hiroaki Saeki, Keiichi Matsushima, Teruo Asakawa, Masaki Narushima
  • Patent number: 6780250
    Abstract: An integrated oxide removal and processing system (10) includes a process module (30) that may intentionally add at least one film layer to a single semiconductor wafer (32). The integrated oxide removal and processing system (10) also includes a transfer chamber module (20) used to align the semiconductor wafer (32) for the process module (30). The transfer chamber module (20) may expose the semiconductor wafer (32) to a vaporous solution that is inert with respect to the semiconductor wafer (32) and operable to remove an oxide layer (110) therefrom. More specifically, the semiconductor wafer (32) includes silicon. In a further embodiment, the vaporous solution includes HF. In yet a further embodiment, the vaporous solution includes 0.049% to 49% HF.
    Type: Grant
    Filed: January 11, 2001
    Date of Patent: August 24, 2004
    Assignee: Texas Instruments Incorporated
    Inventor: Sylvia H. Pas
  • Patent number: 6779278
    Abstract: A compact stage includes a rotary driver and a vertical linear driver that are in the same horizontal plane, which advantageously reduces the height of the device. The stage may include a rotating shaft to which a chuck is mounted. A rotary drive, which may be an annular rotary drive, is coupled to and rotates the rotating shaft. A linear drive is also coupled to the rotating shaft and in one embodiment extends through the center of the annular rotary drive. The linear drive moves the rotating shaft along a vertical axis. The linear drive may be, e.g., a voice coil motor that uses a spring to bias the rotating shaft along the vertical axis.
    Type: Grant
    Filed: July 17, 2003
    Date of Patent: August 24, 2004
    Assignee: Nanometrics Incorporated
    Inventors: Blaine R. Spady, Dan M. Colban
  • Patent number: 6774056
    Abstract: A process system for processing a semiconductor wafer or other similar flat workpiece has a head including a workpiece holder. A motor in the head spins the workpiece. A head lifter lowers the head to move the workpiece into a bath of liquid in a bowl. Sonic energy is introduced into the liquid and travels through the liquid to the workpiece, to assist in processing. The head is lifted to bring the workpiece to a rinse position. The bath liquid is drained. The workpiece is rinsed via radial spray nozzles in the base. The head is lifted to a dry position. A reciprocating swing arm sprays a drying fluid onto the bottom surface of the spinning wafer, to dry the wafer.
    Type: Grant
    Filed: July 19, 2002
    Date of Patent: August 10, 2004
    Assignee: Semitool, Inc.
    Inventors: Jon Kuntz, Steven Peace, Ed Derks, Brian Aegerter
  • Publication number: 20040151574
    Abstract: A method and apparatus is provided for determining workpiece drift from its nominal or intended position. The apparatus includes two proportionate sensors, each of which gives an output reading that depends upon how much of the sensor beam is blocked by an edge of the workpiece. A computer can calculate positional drift based upon these readings. Also disclosed is a method for aligning proportionate sensors to be parallel to one another.
    Type: Application
    Filed: May 29, 2001
    Publication date: August 5, 2004
    Inventor: Zhimin Lu
  • Patent number: 6769861
    Abstract: The effector of a robot is constructed with positioning pads at its distal end. The pads provide an accurate registration surface for engagement of the substrate. A pair of idler rollers, which slide along the longitudinal axis of the effector, is moved by an actuator into engagement with the substrate and the assembly urges the substrate against the positioning pads. A pair of wheels is mounted on a platform independent of the effector with one of the wheels driven by a motor. An optical sensor mounted with the wheels detects an orientation mark on the edge of the substrate and allows the driven wheel to rotate the substrate to its specified angular position.
    Type: Grant
    Filed: October 8, 2002
    Date of Patent: August 3, 2004
    Assignee: Brooks Automation Inc.
    Inventor: Robert T. Caveney
  • Patent number: 6767170
    Abstract: A wafer holder has a set of minimum contact wafer support members predefining support member contacting portions on a planar wafer surface of a wafer. The wafer chuck has a wafer support region for contacting the planar wafer surface. The wafer support region of the chuck includes recesses configured at predefined positions corresponding to support member contacting portions of the lower wafer surface. The wafer handling system further includes a wafer transport device including a rotational position adjusting device for adjusting the rotational position of a wafer that is transported between the wafer holder and the wafer chuck. Thereby, elevations on the lower wafer surface, like scratches or deposited material which are produced by the contact between the support members and the wafer, are encapsulated by the recesses of the wafer chuck. A method for moving a wafer between a wafer holder and a wafer chuck is also provided.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: July 27, 2004
    Assignee: Infineon Technologies SC300 GmbH & Co. KG
    Inventors: Wolfram Köstler, Günther Hraschan
  • Patent number: 6760976
    Abstract: A method for a robotic semiconductor wafer processing system to correct for wafers that have become offset or off-center during wafer processing. This is accomplished by determining the amount of offset and re-centering the wafer during wafer transport to the next process station using a single station sensor to locate the wafer center point. Each single sensor located at each station activates when the wafer's edge traverses through the sensor's path. Directional coordinates for the measured designated points on the wafer's edge are calculated, and the intersection points of two circles, analytically derived from using the measured designated points as their centers, are determined. The intersection point closest to the true wafer center position represents the measured wafer's center point. This point is compared to the true wafer center position, and the wafer is then adjusted for this difference.
    Type: Grant
    Filed: January 15, 2003
    Date of Patent: July 13, 2004
    Assignee: Novellus Systems, Inc.
    Inventors: Robert Martinson, Dhairya Shrivastava, Matthew Weis
  • Patent number: 6759336
    Abstract: Methods for reducing contamination of semiconductor substrates after processing are provided. The methods include heating the processed substrate to remove absorbed chemical species from the substrate surface by thermal desorption. Thermal desorption can be performed either in-situ or ex-situ. The substrate can be heated by convection, conduction, and/or radiant heating. The substrate can also be heated by treating the surface of the processed substrate with an inert plasma during which treatment ions in the plasma bombard the substrate surface raising the temperature thereof. Thermal desorption can also be performed ex-situ by applying thermal energy to the substrate during transport of the substrate from the processing chamber and/or by transporting the substrate to a transport module (e.g., a load lock) or to a second processing chamber for heating. Thermal desorption during transport can be enhanced by purging an inert gas over the substrate surface.
    Type: Grant
    Filed: November 18, 2002
    Date of Patent: July 6, 2004
    Assignee: Lam Research Corporation
    Inventors: Robert Chebi, David Hemker
  • Patent number: 6755603
    Abstract: A substrate transporting apparatus includes a wafer transfer arm 10 for carrying a plurality of semiconductor wafers W being processed horizontally, a pitch changer 20 for carrying the wafers W at predetermined intervals vertically and a posture changing device 30 positioned between the wafer transfer arm 10 and the pitch changer 20, for changing the posture of the wafers W to the horizontal and vertical arrangements. The pitch changer 20 includes a first holding part 21A and a second holding part 21B which are adapted so as to elevate relatively to each other. The wafers W are held by either one of the holding parts 21A, 21B at the predetermined intervals. The posture changing device 30 has a pair of holders 31 between which the semiconductor wafers W is interposed. The holders 31 are respectively provided, on their sides opposing each other, with a plurality of holding grooves 32A, 32B for retaining the wafers W independently.
    Type: Grant
    Filed: November 21, 2001
    Date of Patent: June 29, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Hiroshi Yamahata
  • Patent number: 6752585
    Abstract: A method and apparatus for transferring a substrate is provided. In one embodiment, an apparatus for transferring a substrate includes at least one end effector. A disk is rotatably coupled to the end effector. The disk is adapted to rotate the substrate relative to the end effector. The end effector may additionally include a sensor coupled thereto. The sensor is adapted to detect an indicia of orientation of the substrate supported by the end effector. In another embodiment, a method for transferring a substrate includes rotating the substrate disposed on an end effector and detecting an indicia of orientation of the substrate.
    Type: Grant
    Filed: June 13, 2001
    Date of Patent: June 22, 2004
    Inventors: Peter Reimer, Jayesh Patel
  • Patent number: 6748293
    Abstract: Methods and apparatus for high speed workpiece handling are provided. The method for workpiece handling includes removing a workpiece from a first cassette with a first robot, transferring the workpiece from the first robot directly to a second robot without transferring the workpiece to a transfer station, placing the workpiece on a workpiece holder at a processing station with the second robot, and transferring the workpiece from the workpiece holder to the first cassette with the first robot following processing. End effectors of the first and second robots may each have a plurality of vertical positions for efficient workpiece handling. Displacement error and rotational error of the workpiece may be sensed and corrected without use of a transfer station. The methods and apparatus may be used for handling semiconductor wafers.
    Type: Grant
    Filed: March 24, 2003
    Date of Patent: June 8, 2004
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Grant Kenji Larsen
  • Patent number: 6742980
    Abstract: There is provided a processing system for processing a processing object, capable of precisely and efficiently carrying out alignment during a teaching operation.
    Type: Grant
    Filed: March 25, 2002
    Date of Patent: June 1, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Yoshiaki Sasaki
  • Publication number: 20040086368
    Abstract: The present invention provides grasping mechanisms, gripper apparatus/systems, and related methods. Grasping mechanisms that include stops, support surfaces, and height adjusting surfaces to determine three translational axis positions of a grasped object are provided. In addition, grasping mechanisms that are resiliently coupled to other gripper apparatus components are also provided.
    Type: Application
    Filed: July 14, 2003
    Publication date: May 6, 2004
    Applicant: IRM, LLC
    Inventors: Robert Charles Downs, Mark Richard Weselak, James Kevin Mainquist
  • Patent number: 6729462
    Abstract: The present invention is an edge grip aligner with buffering capabilities and a method for increasing the throughput of wafers through the device. According to one embodiment, the present invention has first and second buffer arms, and a chuck arm. A workpiece can be aligned while supported on the chuck arm. Once the workpiece is aligned, the chuck arm transfers the workpiece to the buffer arms so that a second workpiece can be aligned on the chuck arm. While the second workpiece is being aligned, an end effector can transfer the first workpiece away from the buffer arms and retrieve another workpiece to place upon the chuck arm.
    Type: Grant
    Filed: May 22, 2003
    Date of Patent: May 4, 2004
    Assignee: Asyst Technologies, Inc.
    Inventors: Daniel A. Babbs, Jae Hong Kim, Matt W. Coady, William J. Fosnight
  • Patent number: 6728596
    Abstract: The system and method herein provide a prealigner that has reduced sensitivity to distorting ambient light influences in the environment of a prealigner integrated in a metrology apparatus. A modulated signal is produced by a photo detector in response to modulated light that is partially shielded by wafer positioned in a gap between light source and detector. The pulsed signal is bandpass filtered and demodulated whereby only the difference between high- and low-levels of the pulsed signal are recognized. Flickering and DC components related to ambient light and other distorting operational influences are removed from the analysis unrecognized. Demodulation is synchronously accomplished together with a switching of the light source's power supply. For stable voltage levels of the power supply, a current source is buffered during off-intervals for a constant load on the current source.
    Type: Grant
    Filed: October 9, 2002
    Date of Patent: April 27, 2004
    Assignee: Therma-Wave, Inc.
    Inventor: Dale Lindseth
  • Patent number: 6710887
    Abstract: A testing device is used to establish the position of a notch or bump on a disk. The testing device has: a testing area for positioning at least one disk having an edge with a notch or bump; a light source for illuminating the edge of the disk; a first light sensor for receiving light of the light source reflected by the edge of the disk; a second light sensor for receiving light of the light source reflected by the notch or bump of the disk, if the notch or bump is located within a predetermined positional area; and an assessment unit for establishing, based on the light falling onto the first light sensor, whether a disk is positioned in the testing area and for establishing based on the light falling onto the second light sensor whether the notch or bump is located within the predetermined position.
    Type: Grant
    Filed: March 13, 2003
    Date of Patent: March 23, 2004
    Assignee: Infineon Technologies AG
    Inventor: Thomas Krause
  • Patent number: 6707310
    Abstract: Disclosed is a method of inspecting the electrical characteristics of a to-be-inspected object formed on a wafer under the state that the wafer chuck having the wafer placed thereon is overdriven by a lift mechanism, wherein measured is the sinking amount of the wafer chuck caused by the needle load applied from a plurality of probes of a probe card to the wafer chuck. Also disclosed are a needle load measuring method for measuring the applied needle load from the sinking amount, a needle load setting method, and a needle load detecting mechanism.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: March 16, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Kiyoshi Takekoshi
  • Publication number: 20040047720
    Abstract: A semiconductor substrate centering mechanism includes a plurality of substrate support pins, each pin having a top surface. The top surfaces of the pins define a plane in which the substrate is supported. Each pin has a tab mounted eccentrically at the top surface of the pin. The tabs extend upwardly relative to the top surfaces of the pins. The centering mechanism further includes a pin rotation mechanism adapted to rotate each pin. The pin rotation mechanism rotates the pins between a first position in which the tabs define an envelope that is larger than a circumference of the substrate and a second position in which the tabs define a centered position for the substrate. A telescoping arrangement of nesting shield segments may also be provided for each pin to prevent processing fluid from reaching a shaft of the pin.
    Type: Application
    Filed: July 31, 2003
    Publication date: March 11, 2004
    Inventor: Alexander Lerner
  • Patent number: 6702865
    Abstract: An alignment processing mechanism 10 according to the present invention includes: a conveying mechanism 11 for conveying a substrate W to be processed, an alignment mechanism 12 for aligning the substrate W conveyed by the conveying mechanism 11 to a predetermined direction, and a buffer mechanism 13 for relaying the substrate W from the conveying mechanism 11 to the alignment mechanism 12. The buffer mechanism 13 is adapted to temporarily hold the substrate W conveyed by the conveying mechanism 11, and to pass the temporarily holding substrate W to the alignment mechanism 12 based on a state of the alignment mechanism 12. According to the present invention, the alignment mechanism 12 can be used with greater efficiency in order to achieve a high speed of an alignment process.
    Type: Grant
    Filed: October 4, 2000
    Date of Patent: March 9, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Masahito Ozawa, Masaki Narushima
  • Patent number: 6699004
    Abstract: Tracking the movement of individual wafers in a semiconductor processing system is improved by using an apparatus to axially rotate a wafer and using both the rotation angle and the wafer's location in the processing system as tracking coordinates. In an example embodiment, the apparatus imparts angles of rotation on the wafers in different stages of wafer processing. The rotation angles of each wafer are collected as data along with the wafer's location in the process. The combined wafer location and angle of rotation data are used to map the path the wafer has traveled from the onset of processing. An important advantage to this apparatus is the increased control and improved yields that the apparatus brings to wafer processing.
    Type: Grant
    Filed: March 8, 2000
    Date of Patent: March 2, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Michael R. Conboy, Russel Shirley, Elfido Coss, Jr.
  • Publication number: 20040037690
    Abstract: A method for transferring a thin plate is provided, in which three or more grasping claws of a transfer arm grasp the periphery of the thin plate in order to transfer it to a predetermined transfer position, and three or more holding claws hold the periphery of the thin plate in the transfer position. The transfer arm rotates about a shaft which is in a direction of a vector sum of a first vector perpendicular to a surface of the thin plate before transfer, and a second vector perpendicular to the surface of the thin plate after the transfer, to transfer the thin plate with changing the posture thereof.
    Type: Application
    Filed: March 11, 2003
    Publication date: February 26, 2004
    Applicant: Matsushita Electric Industries Co., Ltd.
    Inventors: Keishi Kubo, Keiichi Yoshizumi, Hiroyuki Takeuchi, Koji Handa, Takaaki Kassai
  • Patent number: 6692221
    Abstract: The method of the present invention is capable of adhering a wafer to a prescribed position of the carrier plate, which has been correctly positioned, in a short time. The method comprises the steps of: heating a carrier plate; detecting a mark provided to a predetermined position of the carrier plate rotating at predetermined rotational speed; positioning the carrier plate on the basis of a position of the detected mark; conveying the carrier plate to a wafer adhering section with keeping a posture of the carrier plate which has been positioned in the positioning step; and adhering the wafer at a prescribed position of the carrier plate.
    Type: Grant
    Filed: January 3, 2002
    Date of Patent: February 17, 2004
    Assignee: Fujikoshi Machinery Corp.
    Inventor: Tetsuro Toya
  • Publication number: 20040023466
    Abstract: A stacked wafer alignment method with ease and with high precision in which a recognition mark for alignment is provided on each wafer, three or more wafers are stacked while adjacent two wafers are aligned with each other and the positions of the recognition marks of the wafers are shifted in the circumferential direction from one another in order.
    Type: Application
    Filed: April 4, 2003
    Publication date: February 5, 2004
    Inventor: Akira Yamauchi
  • Patent number: 6682295
    Abstract: A device for aligning a flatted object to a desired orientation. The flatted object has a substantially circular perimeter and a flatted chord portion and may be a silicon wafer or a cover for an electrostatic chuck. The device includes multiple conically shaped rollers that center and rotationally align the object, each roller having a bottom lip for supporting the object. Centering rollers are mounted so that they contact the circular perimeter of the object when it is in the desired orientation. Rotational alignment rollers are mounted so that they contact the flatted chord portion of the object when it is in the desired orientation. When placed on the alignment device, the flatted object passively moves to the desired orientation under the force of gravity.
    Type: Grant
    Filed: June 21, 2001
    Date of Patent: January 27, 2004
    Assignee: Novellus Systems, Inc.
    Inventors: Richard M. Blank, Christopher W. Burkhart
  • Publication number: 20040002172
    Abstract: A wafer, having alignment marks formed thereon, is aligned by radiating a first light beam onto the alignment marks so as to generate a first diffracted light beam. The first diffracted light beam is sensed at a first position. A second light beam is radiated onto the alignment marks so as to generate a second diffracted light beam. The second diffracted light beam is sensed at a second position. A correction value is calculated based on a first difference between the first position and a first predetermined position and a second difference is calculated based on a second difference between the second position and a second predetermined position. The wafer is aligned based on the correction value.
    Type: Application
    Filed: June 25, 2003
    Publication date: January 1, 2004
    Inventor: Doo-Hoon Goo
  • Publication number: 20030224625
    Abstract: In a substrate processing apparatus that supports substrates W by a plurality of holding members of a rotor to process the substrates W rotated by the rotor, any one of the holding members is provided with press devices 130 to apply pressures on the peripheries of the substrates W. Each press device 130 has an abutting part 160 for contact with the periphery of the substrate W, a cylinder mechanism 161 for moving the abutting part 160 between a position in contact with the periphery of the substrate W and another position apart from the periphery of the substrate W and a deformable part 162 elastically deformed by the movement of the abutting part 160 to isolate the cylinder mechanism 161 from an atmosphere around the substrates W. Consequently, it is possible to provide the substrate processing apparatus and method that do not cause a diaphragm to be deformed excessively.
    Type: Application
    Filed: June 3, 2003
    Publication date: December 4, 2003
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Masaki Taira
  • Patent number: 6647632
    Abstract: A position measuring apparatus for an alignment of an array of devices such as a thin film magnetic heads on a semiconductor wafer with a high degree of accuracy and satisfactory reproducibility, comprising, on a base, an object holding unit on which an object to be measured, an X-stage, a portal sub-base arranged across over the X-stage, a Y-stage arranged on the portal sub-base, a TV microscope (detector) capable of movement in a Z-axial direction, a ball circulation type linear guide and a motor for driving and guiding the X-stage and the Y-stage, an X-axial straight bar and a Y-axial straight bar attached to side surfaces of the object holding unit, and triangulation type laser displacement meters arranged in the vicinity of and in opposite to the X-axial bar and Y-axial bar, for detecting lateral motion errors during straight movement of the holding unit in the X- and Y-axial direction with a high degree of accuracy.
    Type: Grant
    Filed: February 1, 2002
    Date of Patent: November 18, 2003
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tamotsu Tominaga, Satoshi Hirokawa
  • Patent number: 6642533
    Abstract: A substrate detection sensor is operatively connected to a door moving mechanism for opening/closing a front door with respect to a sealed container accommodating therein a plurality of substrates. The substrate detection sensor enters the sealed container and detects the substrates successively as it is lowered integrally with the front door, and retracts from the sealed container when all of the substrates have been detected.
    Type: Grant
    Filed: January 24, 2001
    Date of Patent: November 4, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hideo Haraguchi, Izuru Matsuda, Shigeyuki Yamamoto
  • Publication number: 20030196870
    Abstract: The present invention is an edge grip aligner with buffering capabilities and a method for increasing the throughput of wafers through the device. According to one embodiment, the present invention has first and second buffer arms, and a chuck arm. A workpiece can be aligned while supported on the chuck arm. Once the workpiece is aligned, the chuck arm transfers the workpiece to the buffer arms so that a second workpiece can be aligned on the chuck arm. While the second workpiece is being aligned, an end effector can transfer the first workpiece away from the buffer arms and retrieve another workpiece to place upon the chuck arm.
    Type: Application
    Filed: May 22, 2003
    Publication date: October 23, 2003
    Inventors: Daniel A. Babbs, Jae Hong Kim, Matt W. Coady, William J. Fosnight
  • Patent number: 6609909
    Abstract: A heat treatment apparatus comprises: a chamber; a cooling plate and a heating plate disposed within the chamber vertically spaced from each other; plural lift pins used to support a material to be treated; a supporting member for supporting the plural lift pins; and an elevator member to which the supporting member is attached through a joint so that the supporting member can be selectively made rotatable and can be fixed at any inclined angle, wherein distances of tips of each of the lift pins from the heating or cooling plate are initially adjusted to be uniform through inclination of the supporting member while the tips contact the heating or cooling plate, after which the supporting member is fixed in an adjusted inclined angle and then the material to be treated is lifted up with the plural lift pins to closely approach the heating or cooling plate during heat treatment.
    Type: Grant
    Filed: October 17, 2001
    Date of Patent: August 26, 2003
    Assignee: Tokyo Ohka Kogyo C., Ltd.
    Inventors: Taiichiro Aoki, Akihiko Nakamura, Akinori Nishie
  • Patent number: 6601313
    Abstract: To prevent process defects and wafer damage caused by improperly positioned wafers, a system and method for detecting a position of a wafer determines whether the wafer is properly positioned before executing a process. The system includes a chuck plate preferably having a supporting part configured to support a lower face of the wafer and a guide part located on a perimeter of the supporting part. A sensing unit senses a positional state of the wafer on an upper portion of the supporting part. A controller receives a signal corresponding to the positional state of the wafer from the sensing unit, and determines whether the wafer is properly positioned. The controller then sends an output control signal to an outputting unit to notify an operator of the wafer position. In this manner, process defects are avoided and a fabricating yield and operating rate of a fabrication unit increases.
    Type: Grant
    Filed: November 27, 2001
    Date of Patent: August 5, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Wun-Myong Shin, Sun-Kyu Kim
  • Patent number: 6599763
    Abstract: A reduction in wafer processing cycle time is achieved by conducting wafer verification and slot randomization of a set of wafers as the wafers are moved through a singular processing location. In an example embodiment, a method of processing a set of wafers in a wafer processing system includes providing each of the wafers with a scribe code thereon. Each of the wafers is presented to a first processing location with the processing location having at least one processing chamber. The scribe code on each wafer is then read as the wafer is being placed into the processing chamber. Each wafer is processed and the set of wafers is slot randomized as they are removed from the first processing location and placed into a wafer cassette. An important advantage of the present invention is the reduced cycle times and reduced capital investment that this method and system bring to wafer processing.
    Type: Grant
    Filed: June 20, 2000
    Date of Patent: July 29, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jose Carlos Reyes, Michael McCarthy, Toby Winters
  • Patent number: 6593045
    Abstract: A cassette station, a processing station having a coating unit and a developing unit, and an inspecting station having a film thickness inspecting apparatus and a defect inspecting apparatus are disposed in the direction approximately perpendicular to the direction of the disposition of cassettes of the cassette station in such a manner that the inspecting station is disposed midway between the cassette station and the processing station. In the structure, the inspecting station and the processing station are connected and wafers are automatically transferred among the stations, operations from the substrate process to the inspection can be simplified and the time period necessary therefore can be shortened.
    Type: Grant
    Filed: July 11, 2001
    Date of Patent: July 15, 2003
    Assignee: Tokyo Electron Limited
    Inventors: Norikatsu Sato, Kunie Ogata, Yoshio Kimura, Hiroshi Tomita, Seiji Nakashima, Hidehiko Kamiya
  • Patent number: 6591960
    Abstract: The present invention is an edge grip aligner with buffering capabilities and a method for increasing the throughput of wafers through the device. According to one embodiment, the present invention has first and second buffer arms, and a chuck arm. A workpiece can be aligned while supported on the chuck arm. Once the workpiece is aligned, the chuck arm transfers the workpiece to the buffer arms so that a second workpiece can be aligned on the chuck arm. While the second workpiece is being aligned, an end effector can transfer the first workpiece away from the buffer arms and retrieve another workpiece to place upon the chuck arm.
    Type: Grant
    Filed: August 30, 2001
    Date of Patent: July 15, 2003
    Assignee: Asyst Technologies, Inc.
    Inventors: Daniel A. Babbs, Jae Hong Kim, Matt W. Coady, William J. Fosnight
  • Patent number: 6592324
    Abstract: A gripper apparatus for grasping an object such as a specimen holder. The gripper apparatus comprises two arms. In one embodiment the arms are pivotable, and in a second embodiment, each arm includes a pivotable member. The arms are moveably coupled to each other and are structured to grasp the object therebetween.
    Type: Grant
    Filed: February 26, 2001
    Date of Patent: July 15, 2003
    Assignee: IRM, LLC
    Inventors: Robert Charles Downs, Mark Richard Weselak
  • Patent number: 6591161
    Abstract: A robot wafer alignment tool uses a reflector mounted on a multi-axis robot to determine the position of the robot or other objects within a chamber. The reflector reflects images to at least one camera from an area or object of interest in the chamber.
    Type: Grant
    Filed: January 31, 2001
    Date of Patent: July 8, 2003
    Assignee: WaferMasters, Inc.
    Inventors: Woo Sik Yoo, Kitaek Kang
  • Patent number: 6580955
    Abstract: An apparatus, method and medium is provided for increasing the efficiency with which wafers are transferred among different processing chambers in a wafer processing facility. A multi-slot cooling chamber allows multiple wafers to be cooled while other wafers are subjected to processing steps in other chambers. Each wafer in the processing sequence is assigned a priority level depending on its processing stage, and this priority level is used to sequence the movement of wafers between chambers. A look-ahead feature prevents low-priority wafer transfers from occurring if such transfers would occur just prior to the scheduling of a high-priority wafer transfer.
    Type: Grant
    Filed: September 9, 2002
    Date of Patent: June 17, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Zhihong J. Lin, Chongyang Wang
  • Publication number: 20030108415
    Abstract: A method for moving a substrate to a predetermined location with a specified orientation with a robotic manipulator, the robotic manipulator having a plurality of joint actuators and an end-effector for holding the substrate, wherein the end-effector is independently rotatable with respect to the remaining robotic manipulator. The method comprises selecting a reference point on the end-effector for determining a position of the end-effector, wherein the reference point is offset from a wrist of the robotic manipulator, determining a motion path for movement of the end-effector of robotic arm toward predetermined location with specified orientation, and generating motion profiles for translational and rotational components of movement of the end-effector of robotic manipulator along the motion path.
    Type: Application
    Filed: July 15, 2002
    Publication date: June 12, 2003
    Inventors: Martin Hosek, Hakan Elmali
  • Patent number: 6571485
    Abstract: A structure, a fabrication method and an application of an overlay mark. The overlay mark structure has an outer mark and an inner mark. The outer mark encloses a cross area that has two central axes. The inner mark has four strip patterns arranged in two central axes and extend outwardly towards four directions from the central part of the closed cross area.
    Type: Grant
    Filed: November 30, 2001
    Date of Patent: June 3, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Cheng-Hung Yu, Chi-Liang Kuo
  • Patent number: 6567725
    Abstract: Apparatus for teaching robot station location relative to a work piece apparatus includes an attachment that can be temporarily coupled to the apparatus and positioned in known relationship to the robot station location. A plurality of positional sensors are mounted on the attachment ring, the sensors each configured to produce a signal when a work piece carried by a robot arm is positioned a predetermined distance from the sensor. A signal receiver is configured to receive signals from the sensors and to indicate which of the sensors has produced the signal. The indication may be the activation of an LED display that indicates to an operator what the next movement of the robot arm should be in order to center the work piece with respect to the robot station location.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: May 20, 2003
    Assignee: Speedfam-Ipec Corporation
    Inventors: Ann Wilkey, Michael Smigel, Richard J. Stewart, III
  • Patent number: 6561798
    Abstract: An apparatus for thermally processing a wafer at an elevated temperature, in which a processing position is bounded at least on one side by a housing part which, in use, is at an elevated temperature, the apparatus being provided with measuring means for determining the position of a wafer in the processing position before and/or during processing, the measuring means being provided with at least one signal processor and at least one signal conductor, the or each signal processor being located at a distance from the processing position in an area of lower temperature, the signal conductor extending through the housing part being at an elevated temperature and extending from a measuring point in or near the processing position to the signal processor for transmitting to the signal processor contactlessly obtained measuring signals, the at least one signal conductor, at least the part extending through the housing part being at an elevated temperature, being heat resistant.
    Type: Grant
    Filed: June 6, 2001
    Date of Patent: May 13, 2003
    Assignee: ASM International N.V.
    Inventors: Vladimir Ivanovich Kuznetsov, Bartholomeus Hans L. Lindeboom, Ronald Bast, Christianus Gerardus M. de Ridder
  • Patent number: 6554560
    Abstract: A wafer orienting apparatus for aligning a plurality of semiconductor wafers each of which has a v-notch formed on its outer periphery. The apparatus includes a cassette process carrier for supporting the plurality of wafers in parallel wafer supporting slots and wafer supporting means engaging the periphery of each wafer in an individual slot with the central axis of all wafers in coaxial alignment. A supporting platform is placed over the cassette for supporting the wafers in an inverted position in which the wafers are substantially vertical and biased by their own weight against a multiplicity of orienting mechanisms. The orienting mechanisms are arranged to correspond to each wafer position within the cassette. The plurality of orienting mechanisms are integrated with the supporting platform so that all wafers within the cassette can be aligned during this aligning process.
    Type: Grant
    Filed: July 16, 2001
    Date of Patent: April 29, 2003
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventor: Vijai Sinha
  • Patent number: 6556887
    Abstract: Generally, a method of determining a position of a robot is provided. In one embodiment, a method of determining a position of a robot comprises acquiring a first set of positional metrics, acquiring a second set of positional metrics and resolving the position of the robot due to thermal expansion using the first set and the second set of positional metrics. Acquiring the first and second set of positional metrics may occur at the same location within a processing system, or may occur at different locations. For example, in another embodiment, the method may comprise acquiring a first set of positional metrics at a first location proximate a processing chamber and acquiring a second set of positional metrics in another location. In another embodiment, substrate center information is corrected using the determined position of the robot.
    Type: Grant
    Filed: July 12, 2001
    Date of Patent: April 29, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Marvin L. Freeman, Jeffrey C. Hudgens, Damon Keith Cox, Chris Holt Pencis, Michael Rice, David A. Van Gogh
  • Patent number: 6553280
    Abstract: In a first aspect, a valve/sensor assembly is provided that includes a door assembly. The door assembly has (1) a first position adapted to seal an opening of a chamber; (2) a second position adapted to allow at least a blade of a substrate handler to extend through the opening of the chamber; and (3) a mounting mechanism adapted to couple the door assembly to the chamber. The valve/sensor assembly also includes a sensor system having a transmitter and a receiver adapted to detect a presence of a substrate and to communicate through at least a portion of the door assembly. Systems, methods and computer program products are provided in accordance with this and other aspects.
    Type: Grant
    Filed: June 30, 2001
    Date of Patent: April 22, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Brian Johnson, Edward Ng, Justin Mauck, Edward R. Dykes, Joseph Arthur Kraus
  • Patent number: 6543513
    Abstract: Die bonding apparatus is provided in which in order to move a target die to a pick-up position, a wafer table is moved by rotation and along one linear axis. This reduces the footprint of the apparatus in comparison to prior art apparatus in which this movement is accomplished by movement along two orthogonal linear axes. In an embodiment of the invention, however, movement along a second orthogonal liner axis may also be provided whereby a user may select the method of moving a target die to the pick-up position.
    Type: Grant
    Filed: November 27, 2000
    Date of Patent: April 8, 2003
    Assignee: ASM Assembly Automation Ltd.
    Inventors: Siu Wing Lau, Yuk Cheung Au
  • Publication number: 20030063967
    Abstract: A method and apparatus for minimizing the surface contamination of semiconductor wafers (11) during the semiconductor device manufacturing process. Semiconductor wafers (11) are stored in a storage cassette (12) with their face sides (17) facing downward and their back sides (16) facing upward. Particulate contamination present on the back sides of the wafers is thereby secured to the wafers by the force of gravity, and the faces of the wafers are shielded from falling debris. An automated wafer handling device (19) is provided with a rotary joint (22) to accomplish the wafer flipping motion before inserting a wafer into a cassette and after removing the wafer from the cassette.
    Type: Application
    Filed: September 28, 2001
    Publication date: April 3, 2003
    Inventors: Michael Antonell, Erik Cho Houge, Larry E. Plew, Catherine Vartuli, Jennifer Juszczak
  • Publication number: 20030057089
    Abstract: A first disk carrier constructed in accordance with the invention is substantially circular, and has a size and shape such that it can be placed in an opening in a second, larger disk carrier. In one embodiment, the second, large disk carrier is a conventional disk carrier, e.g. used to hold a substrate during a magnetic disk manufacturing process. The first disk carrier is circular, and has a diameter equal (or substantially equal) to common substrates currently being manufactured. Therefore, the first disk carrier fits in and can be held by the second disk carrier. The first disk carrier has one or more openings for holding one or more substrates that have a diameter substantially less than the diameter of the opening of the second disk carrier.
    Type: Application
    Filed: March 17, 2001
    Publication date: March 27, 2003
    Inventor: Tin Nguyen
  • Patent number: 6536130
    Abstract: An overlay mark for concurrently monitoring alignment accuracy, focus, leveling and astigmatism and a method of application thereof are disclosed. The overlay mark comprises four inner bars and four outer bars formed at the corners of exposure areas. The inner bar has a sawtooth area and a bar-shaped area, and the outer bar is a fore-layer etched pattern. Both the inner bars and the outer bars are formed into rectangles, and each bar is one side of a rectangle and none of the sides are connected. The sawtooth areas of the inner bars disposed on opposite sides are located at a same position. The rectangle formed by the outer bars encloses the rectangle formed by the inner bars. During the monitoring process, a testing beam scans across a scan area being divided into two areas, i.e., one being the outer bars and the sawtooth area of the inner bars, and the other one being the outer bars and the bar-shaped area of the inner bars.
    Type: Grant
    Filed: November 30, 2001
    Date of Patent: March 25, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Te-Hung Wu, Jung-Yu Hsieh, Hsiu-Man Chang