Including Wafer Orienting Means Patents (Class 414/936)
  • Publication number: 20030053904
    Abstract: In order to detect the position of notch or orientation flat during high speed rotation while preventing deviation of position of a wafer by gripping the wafer securely, an aligner 1 comprises a machine bed 10, a transfer arm 20 of a water 3, and a holding clamper 30. The holding clamper 30 has upper arms 33, 34, 35, and is designed to grip the wafer 3 securely, and is elevatable by means of an elevating drive unit 15, and is also movable in the horizontal direction of the upper arm 3 by means of an opening drive unit 16. Accordingly, the wafer 3 put on the transfer arm 20 is gripped by the holding clamper 30 and rotated by one revolution, so that the position of the notch is detected by a detector, and the notch is moved to the reference rotation position. Further, en engaging pawl is disposed in the upper arm 33, and when gripping the wafer 3, the engaging pawl can be engaged with the notch of the wafer 3.
    Type: Application
    Filed: September 9, 2002
    Publication date: March 20, 2003
    Inventors: Naofumi Kirihata, Jun Yoshida
  • Patent number: 6533521
    Abstract: Access to the interior of a substrate storage pod in which substrates such as semiconductor wafers are stored is gained using an access device provided within a micro environment enclosure. The access device has a telescoping enclosure door which serves to control access to the interior of the storage pod from the interior of the enclosure. A pre-aligner is mounted to the enclosure door, and substrates are passed through the pre-aligner as they are retrieved from the storage pod. The pre-aligner detects and adjusts the orientation of the substrates as necessary for processing. The level of the pre-aligner is incrementally adjusted to match that of a current substrate by incrementally adjusting the level of the enclosure door.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: March 18, 2003
    Assignee: Genmark Automation, Inc.
    Inventors: Alexander Todorov, Mila Genov
  • Patent number: 6530732
    Abstract: A load lock and related method of handling a substrate involves placing a substrate onto a vertically movable poppet and moving the poppet between two vertically opposed subchambers such that in moving the poppet toward one of the subchambers, that subchamber is sealed to atmosphere. The two subchamber system allows one substrate to be placed into a buffer and another substrate to be cooled at the same time. Also, the system allows for a slow vacuum to be made on the substrate in a subchamber to avoid undesirably loading the substrate by the otherwise immediate drop in pressure.
    Type: Grant
    Filed: May 26, 1998
    Date of Patent: March 11, 2003
    Assignee: Brooks Automation, Inc.
    Inventors: Victor J. Theriault, Mark Ives
  • Patent number: 6524051
    Abstract: The present invention provides a wafer positioning device having wafer storage capability. The wafer positioning device has a wafer platform with wafer lift pins, a wafer position sensor, and a storage location in close proximity to the wafer platform and the wafer position sensor. The storage location may be above the wafer position sensor, in which case the wafer position sensor retracts or rotates so that the wafer lift pins may elevate a positioned wafer past the position sensor to the storage location. Alternatively, the storage location may be between the wafer platform and the wafer positioning device. The storage location is preferably formed by a plurality of rotatable towers or a plurality of retractable lift pins that are operatively coupled to the wafer platform and that have wafer support portions capable of assuming both a wafer storage position and a wafer passage position.
    Type: Grant
    Filed: July 31, 2001
    Date of Patent: February 25, 2003
    Assignee: Applied Materials, Inc.
    Inventor: Eric A. Nering
  • Patent number: 6522942
    Abstract: A semiconductor processing system includes a transfer apparatus for transferring a wafer. The transfer apparatus has a pick arm member with wings. The reference distances between the wafer located at the normal position and the wings are stored in a memory of a CPU. Detection ranges of line sensors are set in a standby position in front of a process chamber in order to detect the distances between the wafer and the wings. In the CPU, the amount of positional shift of the wafer is detected based on the reference distances and the detected distances.
    Type: Grant
    Filed: May 21, 2001
    Date of Patent: February 18, 2003
    Assignee: Tokyo Electron Limited
    Inventors: Masaki Kondo, Hiroaki Saeki
  • Publication number: 20030031549
    Abstract: A wafer or some other article is aligned while being held by an end-effector.
    Type: Application
    Filed: October 15, 2002
    Publication date: February 13, 2003
    Inventors: Alexander J. Berger, Frank E. Kretz
  • Patent number: 6516244
    Abstract: A system and associated method for aligning semiconductor wafers and wafer-like objects relative to a transport mechanism. An image of, for example, a wafer is acquired, digitized, and stored in a computer as an array of pixels, each pixel representing a point on the image. Data points along the edge of the wafer are extracted and used to geometrically estimate the center of the wafer object. The estimated wafer center is then compared to the position of a predetermined reference position to determine an offset. Using this information, the wafer transport mechanism can then be re-adjusted to pick up the wafer on the corrected center.
    Type: Grant
    Filed: August 25, 2000
    Date of Patent: February 4, 2003
    Assignee: WaferMasters, Inc.
    Inventors: Woo Sik Yoo, Kitaek Kang
  • Publication number: 20030023343
    Abstract: A wafer transfer system which reduces time for orienting a wafer in transferring the wafer and improving the efficiency of transferring the wafer.
    Type: Application
    Filed: July 1, 2002
    Publication date: January 30, 2003
    Applicant: Murata Kikai Kabushiki Kaisha
    Inventors: Masaru Tomita, Shuji Akiyama
  • Patent number: 6508885
    Abstract: A low-pressure processor for processing substrates includes a chuck that engages the substrates' peripheries for purposes of clamping, sealing, and centering the substrates on chuck bodies. For accomplishing all three purposes, a mechanical clamp can be arranged with two sealing regions. One of the sealing regions seals the clamp to a chuck body or an extension of the chuck body, and another of the sealing regions engages a peripheral edge surface of a substrate for sealing the clamp to the substrate. The second sealing region includes an inclined seating surface that engages a front edge of the substrate's peripheral edge surface and divides a clamping force into a first component that presses the substrate against the chuck body and a second component that centers the substrate on the chuck body.
    Type: Grant
    Filed: May 3, 2000
    Date of Patent: January 21, 2003
    Assignee: CVC Products, Inc.
    Inventors: Mehrdad M. Moslehi, Cecil J. Davis
  • Patent number: 6510365
    Abstract: The invention is a method for positioning a conveying mechanism having a holding portion for semiconductor wafers. Respective provisional position coordinates of an orienting teaching standard position and a container teaching standard positions are inputted into a controlling unit in advance. A wafer to be conveyed precisely positioned with respect to and held by the holding portion is conveyed and placed on the rotating orienting device according a control based on the provisional coordinates of the orienting teaching standard position. A posture detector then detects the eccentric volume and eccentric direction of the wafer. Appropriate position coordinates are made by amending the provisional coordinates. Then, a wafer to be conveyed precisely positioned with respect to the container teaching standard position is conveyed and placed on the rotating orienting device according a control based on the provisional coordinates of the orienting teaching standard position.
    Type: Grant
    Filed: April 25, 2001
    Date of Patent: January 21, 2003
    Assignee: Tokyo Electron Limited
    Inventors: Yasuhiko Nishinakayama, Shigeru Ishizawa, Hiroaki Saeki, Takashi Kawano, Tetsu Osawa
  • Publication number: 20030014158
    Abstract: A wafer or some other article is aligned while being held by an end-effector.
    Type: Application
    Filed: July 13, 2001
    Publication date: January 16, 2003
    Applicant: Tru-Si Technologies, Inc.
    Inventors: Alexander J. Berger, Frank E. Kretz
  • Patent number: 6499777
    Abstract: An end-effector with integrated cooling features comprises heat transferring mechanisms that transfer heat energy away from the end-effector. The end-effector advantageously minimizes the cooling overhead of a processed substrate as it is transported from a process module to a low-cost storage cassette. The reduced cooling overhead of the processed substrate, as a consequence, improves substrate throughput. In the preferred embodiments, the heat transferring mechanisms include a high surface area heat sink connecting the substrate-supporting paddle with a robot arm. Cooling fins can enhance surface area and thus enhance heat dissipation from the heat sink. Cooling channels can extend through paddle and heat sink, either containing circulating fluid for carrying heat beyond the end-effector or a phase changing material in an enclosed heat pipe.
    Type: Grant
    Filed: May 5, 2000
    Date of Patent: December 31, 2002
    Assignee: Matrix Integrated Systems, Inc.
    Inventor: Albert Wang
  • Patent number: 6500261
    Abstract: A method and an apparatus for preventing the misplacement of a cassette pod on the loadport of a process machine are described. In the method, a cassette pod that is equipped with an identification tag on a front panel is first provided, a process machine that is equipped with a loadport for receiving the cassette pod is then provided, at least one position verification block is mounted on the loadport at a first preset position, and then at least one position verification finger is mounted on the front panel of the cassette pod corresponding to the first preset position of the at least one position verification block such that when the cassette pod is placed on the loadport, the at least one position verification finger does not interfere with the at least one position verification block.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: December 31, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd
    Inventors: Jun-Bo Chen, Kuo-Chen Lin, Chi-Pong Chiang
  • Publication number: 20020197144
    Abstract: A device for aligning a flatted object to a desired orientation. The flatted object has a substantially circular perimeter and a flatted chord portion and may be a silicon wafer or a cover for an electrostatic chuck. The device includes multiple conically shaped rollers that center and rotationally align the object, each roller having a bottom lip for supporting the object. Centering rollers are mounted so that they contact the circular perimeter of the object when it is in the desired orientation. Rotational alignment rollers are mounted so that they contact the flatted chord portion of the object when it is in the desired orientation. When placed on the alignment device, the flatted object passively moves to the desired orientation under the force of gravity.
    Type: Application
    Filed: June 21, 2001
    Publication date: December 26, 2002
    Inventors: Richard M. Blank, Christopher W. Burkhart
  • Patent number: 6491491
    Abstract: An articulated robot comprises a movable first transferring portion and a second transferring portion movably supported in relation to the movable first transferring portion by a joint portion. A workpiece is positioned at a position, where a workpiece positional deviation detector is located, by a hand portion formed at an end of the second transferring portion. The workpiece positional deviation, detector detects the amount of deviation in the position of the workpiece. A positioning portion of the workpiece and a sensor portion for detecting deviations of the workpiece are formed either at the first transferring portion to the second transferring portion which form the articulated robot. A detecting operation by the sensor portion and transferring of the first and second transferring portions are enabled when the workpiece is positioned at the positioning portion of the articulated robot.
    Type: Grant
    Filed: October 16, 1998
    Date of Patent: December 10, 2002
    Assignee: Sankyo Seiki Mfg. Co., Ltd.
    Inventors: Haruhiro Tsuneda, Yasuyuki Kitahara
  • Patent number: 6485248
    Abstract: An apparatus and associated method for transporting a first substrate and a second substrate relative to a cell. The cell contains a pedestal that is configured to interact with a single substrate. When swapping wafers in a cell, a first substrate is displaced from the pedestal to a remote location and a second substrate is inserted into the cell and onto the pedestal. The first substrate is then removed from the cell.
    Type: Grant
    Filed: October 10, 2000
    Date of Patent: November 26, 2002
    Assignee: Applied Materials, Inc.
    Inventor: William N. Taylor, Jr.
  • Publication number: 20020172585
    Abstract: The invention relates to a simple and cost-effective method for aligning substrates. In order to achieve this, the invention provides a device for aligning disc-shaped substrates, in particular semiconductor wafers, comprising an alignment detection unit, at least one first support for receiving the substrate, which forms an oblique plane in relation to the horizontal, a stop against which the substrate can be displaced as a result of the oblique angle and a rotational device for rotating the substrate.
    Type: Application
    Filed: May 30, 2002
    Publication date: November 21, 2002
    Inventors: Ottmar Graf, Paul Mantz
  • Patent number: 6483082
    Abstract: A heater lift mechanism with a ball screw linear actuator provides a relatively maintenance free lift mechanism with low starting torque and high positional accuracy. The heater lift mechanism can also be used to move wafer boats in a vertical furnace. The ball screw linear actuator has substantially reduced backlash over a conventional ACME thread lead screw.
    Type: Grant
    Filed: January 11, 2002
    Date of Patent: November 19, 2002
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Miao-Cheng Liao, Hsiang-Sheng Cheng
  • Patent number: 6478532
    Abstract: An aligner is disclosed including a buffer mechanism having a buffer paddle on which wafers may be buffered to increase the throughput of the aligner. The aligner is provided in general to identify a position of a notch, position and read the associated indicial mark and determine the radial runout of the wafer. The aligner includes a rotating support platform in the form of a chuck on which the wafers are received from the robot. A motor rotates the chuck so that the radial runout and notch of the wafer may be identified. According to the present invention, the aligner further includes a buffer mechanism having a buffer paddle and a drive mechanism for vertically translating the buffer paddle. The aligner further includes an analog sensor for determining the notch location, the radial runout and/or the position of the indicial mark on a wafer being rotated on the chuck.
    Type: Grant
    Filed: November 30, 1999
    Date of Patent: November 12, 2002
    Assignee: Asyst Technologies, Inc.
    Inventors: Matthew W. Coady, Hillman L. Bailey
  • Patent number: 6471464
    Abstract: A device for orienting and/or centering a wafer is provided. The device employs a CCD camera and backlighting source which enhances the CCD camera's ability to detect wafer position. The backlighting source may be positioned along the side of the wafer opposite the CCD camera, or may be positioned adjacent the CCD camera. When positioned adjacent the CCD camera one or more shields are employed to prevent direct or reflected light from impacting the top surface of the wafer being detected by the CCD camera. Accordingly the only light detected by the CCD camera is light which passes the wafer and reflects from a surface therebeyond, back to the CCD camera, resulting in effective backlighting of the wafer. The image of the wafer may be further sharpened by roughening the surface from which light reflects back to CCD camera.
    Type: Grant
    Filed: October 8, 1999
    Date of Patent: October 29, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Richard Fay, Paul Peng, Wei Chen, Gino Tsai, J Fan Chang
  • Patent number: 6468022
    Abstract: An apparatus for holding and orienting a wafer having an alignment feature, and including a movable robot arm; and an end effector attached to an end of the robot arm, the end effector including a gripping mechanism which during operation both holds the wafer and rotates it about an axis that is perpendicular to the plane of the wafer and a sensing element for detecting the alignment feature on the wafer as the gripping mechanism rotates the wafer past the sensing element.
    Type: Grant
    Filed: July 5, 2000
    Date of Patent: October 22, 2002
    Assignee: Integrated Dynamics Engineering, Inc.
    Inventor: Preston Whitcomb
  • Publication number: 20020150449
    Abstract: An automated semiconductor processing system has an indexer bay perpendicularly aligned with a process bay within a clean air enclosure. An indexer in the indexer bay provides stocking or storage for work in progress semiconductor wafers. Process chambers are located in the process bay: A process robot moves between the indexer bay and process bay to carry semi-conductor wafers to and from the process chambers. The process robot has a robot arm vertically moveable along a lift rail. Semiconductor wafers are carried offset from the robot arm, to better avoid contamination. The automated system is compact and requires less clean room floor space.
    Type: Application
    Filed: June 5, 2002
    Publication date: October 17, 2002
    Applicant: Semitool, Inc.
    Inventors: Gordon Ray Nelson, Daniel P. Bexten, Jeffry A. Davis
  • Publication number: 20020146312
    Abstract: A method for loading substrates in a processing system is provided. In one embodiment, a method for loading substrates utilizes a substrate loader that generally includes a wall having an exterior side with one or more apertures formed therethrough and a related method of loading a processing system. A door assembly is movably coupled to the wall in each of the apertures and is adapted to temporarily retain the substrate. A first portion of the door assembly substantially closes the aperture when the door assembly is in a first or closed position, and a second portion of the door assembly substantially closes the aperture when the door assembly is in a second or open position. A robot for transferring a substrate in a processing system is also provided.
    Type: Application
    Filed: May 31, 2002
    Publication date: October 10, 2002
    Inventors: Himanshu J. Chokshi, Ben Ju, Eric J. Hilton
  • Publication number: 20020136629
    Abstract: There is provided a processing system for processing a processing object, capable of precisely and efficiently carrying out alignment during a teaching operation.
    Type: Application
    Filed: March 25, 2002
    Publication date: September 26, 2002
    Inventor: Yoshiaki Sasaki
  • Patent number: 6454516
    Abstract: There is provided an aligner apparatus and method which can align a semiconductor substrate without contaminating a rear surface. The aligner apparatus for arbitrarily aligning the circular semiconductor substrate having a notch or “orifla” at an edge portion includes at least three spindle units rotatably axially supported by a plate, holding units for holding the semiconductor substrate, attached to respective tip ends of the spindle units, a rotation mechanism for rotating the spindle units, and a sensor for detecting the notch or “orifla”. An edge portion of the semiconductor substrate is brought into contact with the respective holding units, so that the semiconductor substrate is held. When the spindle units rotate, the semiconductor substrate held by the holding units rotates around its axial line.
    Type: Grant
    Filed: July 10, 2000
    Date of Patent: September 24, 2002
    Assignee: ASM Japan K.K.
    Inventor: Takayuki Yamagishi
  • Publication number: 20020131848
    Abstract: The present invention is an alignment apparatus which obtains an amount of correction for centering a semiconductor wafer from four points of a wafer edge detected by noncontact proprioceptors in a wafer delivery position P1 where the semiconductor wafer is passed to a wafer carrying unit from a wafer carrying robot and centers the semiconductor wafer.
    Type: Application
    Filed: May 2, 2002
    Publication date: September 19, 2002
    Applicant: Olympus Optical Co., Ltd.
    Inventor: Shunsuke Kurata
  • Patent number: 6452201
    Abstract: This invention uses the pattern-based signal to accelerate the evaluation process as a means to replace complicated computing procedures. This invention is constructed through implementing absolute coordinates to produce pattern-based signals by position and two optical sensor signals, and through conducting the feature extraction process. This process produces feature signals of sidelong and overlapped issues. Furthermore, through transforming signals, feature signals can be handled by the digital data processor. Thus, this invention can achieve the three main objectives of wafer mapping.
    Type: Grant
    Filed: July 19, 2000
    Date of Patent: September 17, 2002
    Assignee: Industrial Technology Research Institute
    Inventors: Yu-Sheng Wang, Chien-Rong Huang, Kuan-Chou Chen, Ping-Yu Hu, Tzong-Ming Wu
  • Patent number: 6448188
    Abstract: The present invention comprises a dynamic brake that applies restraining frictional force to a wafer in a wafer holder while the wafer holder is substantially at rest, but releases the restraining force as the processing carousel containing several wafer holders rotates about a central axis of the carousel. This dynamic brake preferably comprises a boot that passes through an opening in the wafer holder to rest on the surface of the wafer in an exclusion zone near the wafer's edge. The exclusion zone is typically no more than about 3 mm in extent. The frictional force between the boot and wafer is sufficient to prevent unwanted motion of the wafer in the holder. As the wafer holder rotates about a central axis of the processing carousel, centrifugal forces applied to the brake arising from such rotation cause the boot to pivot upward, releasing the frictional force on the wafer.
    Type: Grant
    Filed: December 14, 2001
    Date of Patent: September 10, 2002
    Assignee: Tru-Si Technologies, Inc.
    Inventors: Oleg Siniaguine, Alex Berger
  • Patent number: 6449520
    Abstract: An apparatus, method and medium is provided for increasing the efficiency with which wafers are transferred among different processing chambers in a wafer processing facility. A multi-slot cooling chamber allows multiple wafers to be cooled while other wafers are subjected to processing steps in other chambers. Each wafer in the processing sequence is assigned a priority level depending on its processing stage, and this priority level is used to sequence the movement of wafers between chambers. A look-ahead feature prevents low-priority wafer transfers from occurring if such transfers would occur just prior to the scheduling of a high-priority wafer transfer.
    Type: Grant
    Filed: September 5, 2001
    Date of Patent: September 10, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Zhihong J. Lin, Chongyang Wang
  • Patent number: 6435807
    Abstract: A semiconductor processing robot is provided with a robot arm on which is mounted an integrated edge gripper having an orienting assembly and a detecting assembly. The orienting assembly operates to rotate a substrate such that a substrate reference marking, or indicium, is positioned at the detecting assembly. The detecting assembly detects the location of the reference indicium and thereby derives information about the relative orientation of the substrate in the integrated edge gripper.
    Type: Grant
    Filed: December 14, 2000
    Date of Patent: August 20, 2002
    Assignee: Genmark Automation
    Inventors: Alexander Todorov, Mila Genov
  • Publication number: 20020111710
    Abstract: A multiple substrate orienter is provided that includes a rotatable substrate handler having a plurality of substrate support portions, each adapted to support a substrate. The multiple substrate orienter also includes a plurality of stacked substrate supports, each adapted to support a substrate. A plurality of substrate orientation marking (SOM) detectors are provided, and each SOM detector is coupled to a different one of the substrate supports and is adapted to identify a presence of an SOM of a substrate positioned close enough to the SOM detector to allow SOM detection by the SOM detector. The multiple substrate orienter further includes a plurality of lift and lower mechanisms, each lift and lower mechanism coupled to a different one of the substrate supports and adapted to individually lift and lower the substrate support to which the lift and lower mechanism is coupled. Numerous other aspects are provided.
    Type: Application
    Filed: April 8, 2002
    Publication date: August 15, 2002
    Applicant: Applied Materials, Inc.
    Inventors: Ilya Perlov, Eugene Gantvarg, Leonid Tertitski
  • Patent number: 6431811
    Abstract: In order to achieve a higher compaction ratio with a wafer transfer apparatus for transferring wafers stacked in an interspaced manner in a first holding device, e.g.
    Type: Grant
    Filed: May 10, 2000
    Date of Patent: August 13, 2002
    Assignee: Brooks Automation AG
    Inventors: Jakob Blattner, Hans Schmid, Bernhard Strasser, Christian Balg
  • Patent number: 6430468
    Abstract: Method and apparatus are provided for accurately placing first and second semiconductor wafers onto a first and a second platforms, respectively, in a single processing chamber despite changes in the exact positions of the platforms caused by variations in temperature within the chamber. A computer controls a mechanism having a pair of wafer-supporting blades to insert the wafers into the chamber. The computer determines from position sensors when the first wafer is centered over the first platform, then actuates lift pins associated with the first platform to lift the first wafer off of its respective blade. Then the computer in the same way in response to other position sensors moves the second wafer into alignment with the second platform, and raises by lift pins the second wafer off of its respective blade. Thereafter the computer removes the blades from the chamber, and lowers the wafers in precise positions onto their respective platforms.
    Type: Grant
    Filed: November 17, 2000
    Date of Patent: August 6, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Avi Tepman, Lawrence Chung-Lai Lei
  • Patent number: 6425722
    Abstract: A substrate transfer system comprising a cassette table for mounting a cassette which has an opening portion for loading and unloading a substrate and a cover detachably provided to the opening portion, process portion for processing the substrate housed in a cassette on the cassette table, a transfer arm mechanism for taking out the substrate from the cassette table, transferring it to process units G1 to G5, and returning a processed substrate to the cassette on the cassette table, partition members provided between the transfer arm mechanism and the cassette table, for separating an atmosphere on the side of the transfer arm mechanism from that on the side of the cassette table, a passage formed in the partition member so as to face the opening portion of the cassette on the cassette table, for passing the substrate taken out from the cassette on the cassette table by the transfer arm mechanism and returning the substrate to the cassette on the cassette table, cassette moving mechanisms for moving the open
    Type: Grant
    Filed: March 8, 2000
    Date of Patent: July 30, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Issei Ueda, Masami Akimoto, Kazuhiko Ito, Mitiaki Matsushita, Masatoshi Kaneda, Yuji Matsuyama
  • Patent number: 6425280
    Abstract: A jig for aligning a wafer-handling system in a calibration location, such as a wafer pickup-dismount location, with respect to a wafer-processing tool. The jig comprises an alignment fixture adapted to be repeatably mounted on the tool and having one or more edge stops. The jig may further comprise an edge-to-center locator adapted to be mounted on the alignment fixture. The edge-to-center locator has a peripheral edge and a center marker that identifies the precise center of the calibration location when the edge-to-center locator edge is positioned in contact with the edge stop or stops. An alignment method for use of the jig is also disclosed.
    Type: Grant
    Filed: July 30, 1999
    Date of Patent: July 30, 2002
    Assignees: International Business Machines Corporation, SVG Lithography Systems, Inc.
    Inventors: Dennis B. Ames, John J. Bacich
  • Patent number: 6409463
    Abstract: Apparatuses and methods for use in adjusting a substrate centering system to center a substrate on a rotatable chuck in a semiconductor processing machine, the chuck including at least one reference point. One apparatus comprises a plate configured to be placed on the chuck and at least one centering mark formed on the plate, wherein the at least one centering mark is configured so that it may be compared to the at least one reference point on the chuck to determine if the plate is centered. The plate often is at least partially transparent so that its position relative to the at least one reference point on the chuck may be seen.
    Type: Grant
    Filed: February 8, 2000
    Date of Patent: June 25, 2002
    Assignee: SEH America, Inc.
    Inventors: Brett J. Croft, Michael Huston
  • Patent number: 6395094
    Abstract: A process system comprises cassette housing chambers 33A and 33B, each of which houses therein a cassette C having housed an object W to be processed, and process chambers 26A through 26D for carrying out a predetermined process for the object W. The cassette housing chambers 30A and 30B are connected to the process chambers 26A through 26D via a transfer chamber 28. In the transfer chamber 28, there are provided a first transfer unit 32 for delivering the object W between the cassette housing chambers 30A and 30B and the transfer chambers 26A through 26D, and a second transfer unit 34 for delivering the object W between the process chambers 20A through 26D and the transfer chamber 28. In the overlapping range 36 of transfer ranges of the first and second transfer units 32 and 34, an aligning unit 38 for aligning the object W is arranged.
    Type: Grant
    Filed: April 13, 2000
    Date of Patent: May 28, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Keiichi Tanaka, Shinsuke Asao, Masahito Ozawa, Masaki Sohma
  • Patent number: 6390767
    Abstract: A positioning assembly is presented for positioning a substantially disk-shaped workpiece in a registered position. The positioning assembly comprises spaced-apart guiding members defining a common support plane for supporting the workpiece, such that they engage the circumference of the workpiece at spaced-apart locations. Each guiding member is mounted for pivotal movement in the support plane between its two extreme positions. The movements of the guiding members transport the workpiece towards the registered position.
    Type: Grant
    Filed: March 9, 2000
    Date of Patent: May 21, 2002
    Assignee: Nova Measuring Instruments Ltd.
    Inventors: Yoav Alper, Beniamin Shulman
  • Patent number: 6393337
    Abstract: A multiple substrate orienter is provided that includes a rotatable substrate handler having a plurality of substrate support portions, each adapted to support a substrate. The multiple substrate orienter also includes a plurality of stacked substrate supports, each adapted to support a substrate. A plurality of substrate orientation marking (SOM) detectors are provided, and each SOM detector is coupled to a different one of the substrate supports and is adapted to identify a presence of an SOM of a substrate positioned close enough to the SOM detector to allow SOM detection by the SOM detector. The multiple substrate orienter further includes a plurality of lift and lower mechanisms, each lift and lower mechanism coupled to a different one of the substrate supports and adapted to individually lift and lower the substrate support to which the lift and lower mechanism is coupled.
    Type: Grant
    Filed: January 13, 2000
    Date of Patent: May 21, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Ilya Perlov, Eugene Gantvarg, Leonid Tertitski
  • Patent number: 6388436
    Abstract: APPARATUS FOR CALIBRATING the position of the support platform of a wafer cassette holder with respect to a robot blade. The apparatus comprises a housing including an open front portion which permits the convenient extending of the robot blade into the housing when the apparatus is placed on the support platform. The housing further comprising detecting and measuring means for detecting the presence underneath of a wafer held by the extended robot blade, and measuring the spacing between the detecting and measuring means and the wafer; and a display panel coupled to the detecting and measuring means for displaying spacing information which indicates to a user the moment when the spacing between the wafer and the detecting and measuring means reaches at least one predetermined spacing value including a desired spacing value.
    Type: Grant
    Filed: May 26, 2000
    Date of Patent: May 14, 2002
    Assignee: International Business Machines Corporation
    Inventors: Jean-Claude Nodot, Jacky Winter
  • Patent number: 6382901
    Abstract: A wafer flat zone aligner prevents wafers from binding to the walls of a wafer cassette, that define the slots in which the wafers are seated, by restricting axial movement of the wafers while the wafers are being rotated by a wafer rotating roller of the aligner. To this end, the wafer rotating roller includes a shaft portion, and a plurality of spaced apart annular members protruding radially from the shaft portion. Each wafer seated in the cassette is inserted between adjacent ones of the annular members into contact with the shaft portion of the roller. When the roller is rotated, the shaft portion rotates the wafers while the wafers are constrained from moving in the axial direction of the roller by the annular members. The wafer aligner also includes a guide roller that is moved into contact with the wafers and causes the wafers to stop rotating when flat zones of the wafer arrive at the guide roller.
    Type: Grant
    Filed: May 3, 2001
    Date of Patent: May 7, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-Won Hong, Seoung-Jae Oh
  • Publication number: 20020048506
    Abstract: The present invention is an edge grip aligner with buffering capabilities and a method for increasing the throughput of wafers through the device. According to one embodiment, the present invention has first and second buffer arms, and a chuck arm. A workpiece can be aligned while supported on the chuck arm. Once the workpiece is aligned, the chuck arm transfers the workpiece to the buffer arms so that a second workpiece can be aligned on the chuck arm. While the second workpiece is being aligned, an end effector can transfer the first workpiece away from the buffer arms and retrieve another workpiece to place upon the chuck arm.
    Type: Application
    Filed: August 30, 2001
    Publication date: April 25, 2002
    Inventors: Daniel A. Babbs, Jae Hong Kim, Matt W. Coady, William J. Fosnight
  • Patent number: 6370793
    Abstract: Apparatus for controlling the temperature of a wafer in a wafer pre-alignment stage has an air injection member for injecting cooling air towards a chucked wafer and an air guide for guiding a predetermined amount of the injected air towards a portion of the wafer adjacent the edge sensor to compensate for a relatively high temperature condition existing at the region of the edge sensor due to the operation thereof. The air injection member is connected with an air supplier and includes an air injection head having a bottom plate through which a plurality of injection holes extend. The air guide is a flow rate controlling plate which is disposed within the air injection head. The air introduced received by the air injection head is guided towards the region of the edge sensor by the flow rate controlling plate.
    Type: Grant
    Filed: June 1, 2000
    Date of Patent: April 16, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hee Sun Chae, Jae Il Kim, Yo Han Ahn
  • Patent number: 6371716
    Abstract: Disclosed is an apparatus for unloading substrates. The apparatus includes a handling station, a conveying robot, and a process apparatus controller. The handling station arranges substrates having different sizes and processed by a prior process apparatus. The conveying robot lifts the substrate arranged on the handling station and loads the substrate to a predetermined position on a cassette or a subsequent process apparatus. The process apparatus controller controls the arrangement of the substrate or motions of the conveying robot so that the substrate is loaded on the predetermined position of the cassette or the subsequent process apparatus. The unloading apparatus according to the present invention adjusts the position on which the substrate is placed in the handling station according to the size of the substrate or adjusts a rotational arc of the conveying robot so that the substrate is loaded to a secure position on the cassette or subsequent process apparatus.
    Type: Grant
    Filed: December 14, 1999
    Date of Patent: April 16, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Joon Byun, Jong-Beom An, Sung-Cue Choi
  • Patent number: 6374149
    Abstract: A method for determining the center (62) of a silicon wafer (54) on a wafer table (14) is provided. The method includes measuring silicon wafer data with a sensor (22). The silicon wafer data is received at a wafer center computing system (38). The coordinates of the wafer center (Xw,Yw) in a wafer table Cartesian coordinate system (72) are computed. The coordinates of the edge of the silicon wafer (54) are then determined from the wafer center coordinates (Xw,Yw).
    Type: Grant
    Filed: May 18, 1998
    Date of Patent: April 16, 2002
    Assignee: Texas Instruments Incorporated
    Inventor: Balamurugan Subramanian
  • Patent number: 6368183
    Abstract: Apparatus and method for cleaning, rinsing and drying work pieces such as semiconductor wafers. The apparatus can be combined, in an integrated apparatus, with a CMP machine. The apparatus includes one or more brush boxes with water tracks to convey work pieces to and from the brush boxes. Each brush box and its associated water track provide for the containment of cleaning agents such as HF used in the cleaning process so that different cleaning agents used in different brush boxes are kept segregated. The efficiency of the brush box is enhanced by providing for movement of work pieces back and forth through the brush box. Thus work pieces can make more than one pass through a brush box.
    Type: Grant
    Filed: January 28, 2000
    Date of Patent: April 9, 2002
    Assignee: SpeedFam-IPEC Corporation
    Inventors: Daniel Trojan, Periya Gopalan, Jon MacErnie
  • Patent number: 6368040
    Abstract: A substrate transporting apparatus includes a wafer transfer arm 10 for carrying a plurality of semiconductor wafers W being processed horizontally, a pitch changer 20 for carrying the wafers W at predetermined intervals vertically and a posture changing device 30 positioned between the wafer transfer arm 10 and the pitch changer 20, for changing the posture of the wafers W to the horizontal and vertical arrangements. The pitch changer 20 includes a first holding part 21A and a second holding part 21B which are adapted so as to elevate relatively to each other. The wafers W are held by either one of the holding parts 21A, 21B at the predetermined intervals. The posture changing device 30 has a pair of holders 31 between which the semiconductor wafers W is interposed. The holders 31 are respectively provided, on their sides opposing each other, with a plurality of holding grooves 32A, 32B for retaining the wafers W independently.
    Type: Grant
    Filed: February 16, 1999
    Date of Patent: April 9, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Katuki Yamasaki, Osamu Kuroda, Kazuyuki Honda, Hiroshi Yamahata
  • Patent number: 6366830
    Abstract: A self-teaching robot arm positioning method that compensates for support structure component alignment offset entails the use of a component emulating fixture preferably having mounting features that are matable to support structure mounting elements. Robot arm mechanism motor angular position data measured relative to component emulating fixture features are substituted into stored mathematical expressions representing robot arm vector motion to provide robot arm position output information. This information indicates whether the actual relative alignment between the robot arm mechanism and a semiconductor wafer carrier is offset from a nominal relative alignment. The robot arm mechanism position output information can be used to effect either manual or automatic correction of an offset from the nominal relative alignment.
    Type: Grant
    Filed: April 24, 2001
    Date of Patent: April 2, 2002
    Assignee: Newport Corporation
    Inventors: Paul Bacchi, Paul S. Filipski
  • Publication number: 20020034434
    Abstract: A wafer flat zone aligner prevents wafers from binding to the walls of a wafer cassette, that define the slots in which the wafers are seated, by restricting axial movement of the wafers while the wafers are being rotated by a wafer rotating roller of the aligner. To this end, the wafer rotating roller includes a shaft portion, and a plurality of spaced apart annular members protruding radially from the shaft portion. Each wafer seated in the cassette is inserted between adjacent ones of the annular members into contact with the shaft portion of the roller. When the roller is rotated, the shaft portion rotates the wafers while the wafers are constrained from moving in the axial direction of the roller by the annular members. The wafer aligner also includes a guide roller that is moved into contact with the wafers and causes the wafers to stop rotating when flat zones of the wafer arrive at the guide roller.
    Type: Application
    Filed: May 3, 2001
    Publication date: March 21, 2002
    Inventors: Jong-Won Hong, Seoung-Jae Oh
  • Patent number: 6353773
    Abstract: A remote control system for remotely controlling a biped locomotion robot as manipulated by an operator has an upper body support mechanism for supporting an upper body of the operator while allowing the operator to move feet thereof. Operation states of the feet of the operator whose upper body is supported by the upper body support mechanism are detected, and leg operation commands are applied to the biped locomotion robot depending on the detected operation states of the feet of the operator. The operation states of the feet of the operator include at least an operation state of each of the feet of the operator which corresponds to lifting of each leg of the biped locomotion robot from a floor, and an operation state of each of the feet of the operator which corresponds to landing of each leg of the biped locomotion robot on a floor.
    Type: Grant
    Filed: April 21, 1998
    Date of Patent: March 5, 2002
    Assignee: Honda Giken Kogyo Kabushiki Kaissha
    Inventor: Toru Takenaka