Silicon Containing Coating Patents (Class 427/255.393)
  • Patent number: 7892602
    Abstract: Methods for depositing a metal silicon nitride layer on a substrate during an atomic layer deposition (ALD) process. The methods provide positioning a substrate within a process chamber containing a centralized expanding channel that conically tapers towards and substantially covers the substrate, flowing a process gas into the centralized expanding channel to form a circular flow pattern, exposing the substrate to the process gas having the circular flow pattern, and exposing the substrate sequentially to chemical precursors during an ALD process to form a metal silicon nitride material. In one example, the ALD process provides sequentially pulsing a metal precursor, a nitrogen precursor, and a silicon precursor into the process gas having the circular flow pattern. The metal silicon nitride material may contain tantalum or titanium. In other examples, the process gas or the substrate may be exposed to a plasma.
    Type: Grant
    Filed: June 7, 2006
    Date of Patent: February 22, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Hua Chung, Ling Chen, Barry L. Chin
  • Patent number: 7887875
    Abstract: A silicon rich anti-reflective coating (30) is formed on a layer (10) in which narrow linewidth features are to be formed. Prior to the formation of a photoresist layer (50), the anti-reflecting coating (30) is exposed to excited oxygen species to reduce photoresist poisoning.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: February 15, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: James B. Friedmann, Shangting Detweiler, Brian M. Trentman
  • Publication number: 20100316800
    Abstract: A multi-station deposition apparatus capable of simultaneous processing multiple substrates using a plurality of stations, where a gas curtain separates the stations. The apparatus further comprises a multi-station platen that supports a plurality of wafers and rotates the wafers into specific deposition positions at which deposition gases are supplied to the wafers. The deposition gases may be supplied to the wafer through single zone or multi-zone gas dispensing nozzles.
    Type: Application
    Filed: August 20, 2010
    Publication date: December 16, 2010
    Inventors: Mei Chang, Lawrence C. Lei, Walter B. Glenn
  • Publication number: 20100247803
    Abstract: A chemical vapor deposition (CVD) method for depositing a thin film on a surface of a substrate is described. The CVD method comprises disposing a substrate on a substrate holder in a process chamber, and introducing a process gas to the process chamber, wherein the process gas comprises a chemical precursor. The process gas is exposed to a non-ionizing heat source separate from the substrate holder to cause decomposition of the chemical precursor. A thin film is deposited upon the substrate.
    Type: Application
    Filed: March 23, 2010
    Publication date: September 30, 2010
    Applicants: TOKYO ELECTRON LIMITED, AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Eric M. LEE, Raymond Nicholas VRTIS, Mark Leonard O'NEILL, Patrick Timothy HURLEY, Jacques FAGUET, Takashi MATSUMOTO, Osayuki AKIYAMA
  • Publication number: 20100129994
    Abstract: A method for forming a film on a substrate comprising: heating a solid organosilane source in a heating chamber to form a gaseous precursor; transferring the gaseous precursor to a deposition chamber; and reacting the gaseous precursor using an energy source to form the film on the substrate. The film comprises Si and C, and optionally comprises other elements such as N, O, F, B, P, or a combination thereof.
    Type: Application
    Filed: February 27, 2008
    Publication date: May 27, 2010
    Inventors: Yousef Awad, Sebastien Allen, Michael Davies, Alexandre Gaumond, My Ali El Khakani, Riadh Smirani
  • Patent number: 7651726
    Abstract: A process of obtaining silicon nitride (Si3N4) surface coatings on ceramic pieces and components by impregnation of the surfaces of the ceramic pieces with silicon powder suspensions with a particle size preferably less than 200 ?m. The thickness of the coatings depends on the impregnation time and on the properties of the slip and the ceramic piece. The subsequent nitridation of the coating by thermal treatment at temperatures between 1300° C. and 1500° C. in N2 atmospheres leads to a continuous Si3N4 coating. The chemical stability and compatibility between Si3N4 and molten silicon enables its application in silicon metallurgy, in manufacturing crucibles for silicon fusion or for housing said molten silicon, in manufacturing pipes and chutes for its transport or in manufacturing different components for its subsequent purification.
    Type: Grant
    Filed: February 18, 2004
    Date of Patent: January 26, 2010
    Assignee: Universidade de Santiago de Compostela
    Inventors: Alejandro Souto Serantes, Francisco Guitián Rivera, Javier Bullón Camarasa
  • Patent number: 7629236
    Abstract: In a method of making a c-Si-based cell or a ?c-Si-based cell, the improvement of increasing the minority charge carrier's lifetime, comprising: a) placing a c-Si or polysilicon wafer into CVD reaction chamber under a low vacuum condition and subjecting the substrate of the wafer to heating; and b) passing mixing gases comprising NH3/H2 through the reaction chamber at a low vacuum pressure for a sufficient time and at a sufficient flow rate to enable growth of an a-Si:H layer sufficient to increase the lifetime of the c-Si or polysilicon cell beyond that of the growth of an a-Si:H layer without treatment of the wafer with NH3/H2.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: December 8, 2009
    Assignee: Alliance For Sustainable Energy, LLC
    Inventors: Qi Wang, Tihu Wang, Matthew R. Page, Yanfa Yan
  • Patent number: 7625609
    Abstract: A method of forming a silicon nitride film which can form a silicon nitride film having a high film stress at a low process temperature is described herein. The method includes the steps of (a) supplying dichlorosilane into a reaction chamber containing a process object, thereby allowing chemical species originated from dichlorosilane as a precursor to be adsorbed on the process object; (b) hydrogenating chlorine contained in the chemical species, thereby removing the chlorine from the chemical species; and (c) supplying ammonia radicals into the reaction chamber, thereby nitriding the chemical species, from which the chlorine has been removed, by the ammonia radicals to, deposit resultant silicon nitride on the process object, wherein the steps (a), (b) and (c) are performed repeatedly for plural times in that order, thereby a silicon nitride film of a desired thickness is formed on a semiconductor wafer.
    Type: Grant
    Filed: March 27, 2006
    Date of Patent: December 1, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Hiroyuki Matsuura
  • Patent number: 7604841
    Abstract: A method for extending time between chamber cleaning processes in a process chamber of a processing system. A particle-reducing film is formed on a chamber component in the process chamber to reduce particle formation in the process chamber during substrate processing, at least one substrate is introduced into the process chamber, a manufacturing process is performed in the process chamber, and the at least one substrate is removed from the process chamber. The particle-reducing film may be deposited on a clean chamber component or on a material deposit formed on a chamber component. Alternatively, the particle-reducing film may be formed by chemically modifying at least a portion of a material deposit on a chamber component. The particle-reducing film may be formed after each manufacturing process or at selected intervals after multiple manufacturing processes.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: October 20, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Raymond Joe, John Gumpher, Anthony Dip
  • Patent number: 7592273
    Abstract: A method of forming a semiconductor device comprises providing a portion of a semiconductor device structure, wherein the portion includes a region susceptible to hydrogen incorporation due to subsequent device processing. For example, the subsequent device processing can include one or more of (i) forming a layer over the region, wherein the layer includes hydrogen and (ii) using gases containing hydrogen in a plasma for the subsequent device processing, wherein the semiconductor device is subject to an undesirable device characteristic alteration by hydrogen incorporation into the region. The method further comprises forming a hydrogen barrier layer overlying the region, wherein the hydrogen barrier layer prevents substantial migration of hydrogen made available due to the subsequent device processing into the underlying region. The method further includes performing the subsequent device processing.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: September 22, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Stanley M. Filipiak, Zhi-Xiong Jiang, Mehul D. Shroff
  • Patent number: 7563727
    Abstract: A method for forming a high mechanical strength, low k, interlayer dielectric material with aluminosilicate precursors so that aluminum is facilely incorporated into the silicon matrix of the material, and an integrated circuit device comprising one or more high-strength, low-k interlayer dielectric layers so formed.
    Type: Grant
    Filed: November 8, 2004
    Date of Patent: July 21, 2009
    Assignee: Intel Corporation
    Inventor: Michael D. Goodner
  • Patent number: 7560581
    Abstract: Tungsten nitride films were deposited on heated substrates by the reaction of vapors of tungsten bis(alkylimide)bis(dialkylamide) and a Lewis base or a hydrogen plasma. For example, vapors of tungsten bis(tert-butylimide)bis(dimethylamide) and ammonia gas supplied in alternate doses to surfaces heated to 300° C. produced coatings of tungsten nitride having very uniform thickness and excellent step coverage in holes with aspect ratios up to at least 40:1. The films are metallic and good electrical conductors. Suitable applications in microelectronics include barriers to the diffusion of copper and electrodes for capacitors. Similar processes deposit molybdenum nitride, which is suitable for layers alternating with silicon in X-ray mirrors.
    Type: Grant
    Filed: July 9, 2003
    Date of Patent: July 14, 2009
    Assignee: President and Fellows of Harvard College
    Inventors: Roy G. Gordon, Seigi Suh, Jill Becker
  • Publication number: 20090060820
    Abstract: The present invention includes a step of separating an effluent produced in a hydrogenation step of making tetrachlorosilane (STC) react with hydrogen into trichlorosilane (TCS), into a chlorosilane fraction containing a hydrocarbon and a TCS fraction, and a chlorination step of making the chlorosilane fraction containing the hydrocarbon react with chlorine to form STC and a substance containing a chlorinated hydrocarbon, wherein the effluent containing STC produced in the chlorination step is circulated to the hydrogenation step. In the chlorination step, the chlorosilane fraction containing a hydrocarbon (capable of containing hyper-hydrogenated chlorosilanes) having a boiling point close to TCS is hyper-chlorinated to be converted and acquire a higher boiling point, which facilitates the hyper-chlorinated chlorosilanes and the hyper-chlorinated hydrocarbons to be separated into high concentration, and increases the purity of TCS to be finally obtained.
    Type: Application
    Filed: September 3, 2008
    Publication date: March 5, 2009
    Applicant: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takaaki Shimizu, Kyoji Oguro
  • Patent number: 7479306
    Abstract: A low-k dielectric material with increased cohesive strength for use in electronic structures including interconnect and sensing structures is provided that includes atoms of Si, C, O, and H in which a fraction of the C atoms are bonded as Si—CH3 functional groups, and another fraction of the C atoms are bonded as Si—R—Si, wherein R is phenyl, —[CH2]n— where n is greater than or equal to 1, HC?CH, C?CH2, C?C or a [S]n linkage, where n is a defined above.
    Type: Grant
    Filed: May 18, 2005
    Date of Patent: January 20, 2009
    Assignee: International Business Machines Corporation
    Inventors: Daniel C. Edelstein, Stephen M. Gates, Alfred Grill, Michael Lane, Qinghuang Lin, Robert D. Miller, Deborah A. Neumayer, Son Van Nguyen
  • Patent number: 7462376
    Abstract: A CVD method for forming a silicon nitride film includes exhausting a process chamber (8) that accommodates a target substrate (W), and supplying a silane family gas (HCD) and ammonia gas (NH3) into the process chamber, thereby forming a silicon nitride film on the target substrate by CVD. Said forming a silicon nitride film on the target substrate alternately includes a first period of performing supply of the silane family gas (HCD) into the process chamber (8), and a second period of stopping supply of the silane family gas.
    Type: Grant
    Filed: May 21, 2004
    Date of Patent: December 9, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Kohei Fukushima, Masato Yonezawa, Junya Hiraka
  • Patent number: 7446217
    Abstract: This invention relates to silicon precursor compositions for forming silicon-containing films by low temperature (e.g., <300° C.) chemical vapor deposition processes for fabrication of ULSI devices and device structures. Such silicon precursor compositions comprise at least one disilane derivative compound that is fully substituted with alkylamino and/or dialkylamino functional groups.
    Type: Grant
    Filed: October 31, 2003
    Date of Patent: November 4, 2008
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Ziyun Wang, Chongying Xu, Thomas H. Baum, Bryan Hendrix, Jeffrey F. Roeder
  • Patent number: 7422774
    Abstract: The present invention generally provides a method for depositing a low dielectric constant film using an e-beam treatment. In one aspect, the method includes delivering a gas mixture comprising one or more organosilicon compounds and one or more hydrocarbon compounds having at least one cyclic group to a substrate surface at deposition conditions sufficient to deposit a non-cured film comprising the at least one cyclic group on the substrate surface. The method further includes substantially removing the at least one cyclic group from the non-cured film using an electron beam at curing conditions sufficient to provide a dielectric constant less than 2.5 and a hardness greater than 0.5 GPa.
    Type: Grant
    Filed: March 9, 2005
    Date of Patent: September 9, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Yi Zheng, Srinivas D. Nemani, Li-Qun Xia, Eric Hollar, Kang Sub Yim
  • Publication number: 20080213479
    Abstract: A method for forming an SiCN film on a target substrate in a process field is arranged to perform a plurality of cycles. Each cycle includes a first step of performing supply of a first process gas containing a silane family gas; a second step of performing supply of a second process gas containing a nitriding gas; a third step of performing supply of a third process gas containing a carbon hydride gas; and a fourth step of shutting off supply of the first process gas. Each cycle is arranged not to turn any one of the first, second, and third process gases into plasma outside the process field during supply thereof, but to heat the process field to a first temperature, at which the silane family gas, the nitriding gas, and the carbon hydride gas react with each other.
    Type: Application
    Filed: February 13, 2008
    Publication date: September 4, 2008
    Inventors: Pao-Hwa Chou, Kazuhide Hasebe
  • Patent number: 7419701
    Abstract: A method to create a low resistivity P+in-situ doped polysilicon film at low temperature from SiH4 and BCl3 with no anneal required. At conventional dopant concentrations using these source gases, as deposition temperature decreases below about 550 degrees C., deposition rate decreases and sheet resistance increases, making production of a high-quality film impossible. By flowing very high amounts of BCl3, however, such that the concentration of boron atoms in the resultant film is about 7×1020 or higher, the deposition rate and sheet resistance are improved, and a high-quality film is produced.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: September 2, 2008
    Assignee: Sandisk 3D LLC
    Inventors: S. Brad Herner, Mark H. Clark
  • Patent number: 7404990
    Abstract: Low dielectric materials and films comprising same have been identified for improved performance when used as interlevel dielectrics in integrated circuits as well as methods for making same. In certain embodiments of the invention, there is provided a low-temperature process to remove at least a portion of at least one pore-forming phase within a multiphasic film thereby forming a porous film. The pore-forming phase may be removed via exposure to at least one energy source, preferably an ultraviolet light source, in a non-oxidizing atmosphere.
    Type: Grant
    Filed: November 14, 2002
    Date of Patent: July 29, 2008
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Aaron Scott Lukas, Mark Leonard O'Neill, Mark Daniel Bitner, Jean Louise Vincent, Raymond Nicholas Vrtis, Eugene Joseph Karwacki, Jr.
  • Patent number: 7396565
    Abstract: Embodiments of the present invention relate to an apparatus and method of cyclical deposition utilizing three or more precursors in which delivery of at least two of the precursors to a substrate structure at least partially overlap. One embodiment of depositing a ternary material layer over a substrate structure comprises providing at least one cycle of gases to deposit a ternary material layer. One cycle comprises introducing a pulse of a first precursor, introducing a pulse of a second precursor, and introducing a pulse of a third precursor in which the pulse of the second precursor and the pulse of the third precursor at least partially overlap. In one aspect, the ternary material layer includes, but is not limited to, tungsten boron silicon (WBxSiy), titanium silicon nitride (TiSixNy), tantalum silicon nitride (TaSixNy), silicon oxynitride (SiOxNy), and hafnium silicon oxide (HfSixOy).
    Type: Grant
    Filed: August 6, 2004
    Date of Patent: July 8, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Michael Xi Yang, Hyungsuk Alexander Yoon, Hui Zhang, Hongbin Fang, Ming Xi
  • Patent number: 7300885
    Abstract: A film formation method for a semiconductor process is arranged to form a thin film on a target substrate by CVD, while supplying a first process gas for film formation and a second process gas for reacting with the first process gas to a process field accommodating the target substrate. The method alternately includes first to fourth steps. The first step performs supply of the first and second process gases to the process field. The second step stops supply of the first and second process gases to the process field. The third step performs supply of the second process gas to the process field while stopping supply of the first process gas to the process field. The fourth step stops supply of the first and second process gases to the process field.
    Type: Grant
    Filed: June 27, 2005
    Date of Patent: November 27, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Kazuhide Hasebe, Pao-Hwa Chou
  • Publication number: 20070243386
    Abstract: Disclosed herein is a method of manufacturing multi-layered thin films having different physical properties on a base material using a plasma-enhanced chemical vapor deposition (PECVD) process. The method includes changing a plasma frequency to be applied, while not changing a composition ratio of a mixed gas for plasma generation, to sequentially form thin films corresponding to a plasma composition of the plasma frequency.
    Type: Application
    Filed: April 9, 2007
    Publication date: October 18, 2007
    Inventors: Hyun Jung Park, Ji-Weon Jeong
  • Publication number: 20070104888
    Abstract: The invention concerns a process for the formation of nanostructures including: the formation of nucleation sites (4) by the irradiation of a substrate using a beam of silicon or germanium ions, the growth of nanostructures (8) on the nucleation sites thus formed.
    Type: Application
    Filed: December 21, 2004
    Publication date: May 10, 2007
    Applicant: Comminssariat A L"energie Atomique
    Inventors: Frederic Mazen, Thierry Baron, Sebastien Decossas, Abdelkader Souifi
  • Patent number: 7211295
    Abstract: Disclosed herein is a silicon dioxide film forming method including: a reaction chamber heating step of heating a reaction chamber to a predetermined temperature, the reaction chamber containing an object to be processed; a gas pretreating step of energizing a process gas to produce water, the process gas including hydrogen, chlorine, and oxygen gas; and a film forming step of forming a silicon dioxide film by supplying the the process gas that has been energized to produce water into the heated reaction chamber to oxidize the silicon layer of the object to be processed.
    Type: Grant
    Filed: April 23, 2004
    Date of Patent: May 1, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Yutaka Takahashi, Hitoshi Kato, Katsutoshi Ishii, Kazutoshi Miura
  • Patent number: 7208195
    Abstract: A method for depositing a thin film includes the steps of providing a vapor including at least one selected vapor phase component into an evacuated chamber and condensing the vapor onto a heated substrate to form a liquid phase deposit wherein a temperature of the substrate is lower than the condensation temperature of the component. The liquid deposit is then cooled to produce a solid phase film. The invention can provide two or more vapor phase components. The invention can be used to deposit a wide variety of layers, including thin films of metallic, semiconductor and nonmetallic inorganic materials. The invention is useful for forming solid electrolytes and the electrodes for batteries, fuel cells and other electromagnetically active devices.
    Type: Grant
    Filed: March 27, 2002
    Date of Patent: April 24, 2007
    Assignee: Ener1Group, Inc.
    Inventors: Yevgen Kalynushkin, Elena Shembel, Peter Novak, Chris Flury
  • Patent number: 7172792
    Abstract: A method of forming a silicon nitride film is described. According to the present invention, a silicon nitride film is deposited by thermally decomposing a silicon/nitrogen containing source gas or a silicon containing source gas and a nitrogen containing source gas at low deposition temperatures (e.g., less than 550° C.) to form a silicon nitride film. The thermally deposited silicon nitride film is then treated with hydrogen radicals to form a treated silicon nitride film.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: February 6, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Shulin Wang, Errol Antonio C. Sanchez, Aihua Chen
  • Patent number: 7156923
    Abstract: A thermal processing system (1) includes a reaction vessel (2) capable of forming a silicon nitride film on semiconductor wafers (10) through interaction between hexachlorodisilane and ammonia, and an exhaust pipe (16) connected to the reaction vessel (2). The reaction vessel 2 is heated at a temperature in the range of 500 to 900° C. and the exhaust pipe (16) is heated at 100° C. before disassembling and cleaning the exhaust pipe 16. Ammonia is supplied through a process gas supply pipe (13) into the reaction vessel (2), and the ammonia is discharged from the reaction vessel (2) into the exhaust pipe (16).
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: January 2, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Kohei Fukushima, Atsushi Endo, Tatsuo Nishita, Takeshi Kumagai
  • Patent number: 7125582
    Abstract: A method including combining a silicon source precursor and a nitrogen source precursor at a temperature up to 550° C.; and forming a silicon nitride film. A system including a chamber; a silicon precursor source coupled to the chamber; a controller configured to control the introduction into the chamber of a silicon precursor from the silicon precursor source; and a memory coupled to the controller comprising a machine-readable medium having a machine-readable program embodied therein for directing operation of the system, the machine-readable program including instructions for controlling the second precursor source to introduce an effective amount of silicon precursor into the chamber at a temperature up to 550° C.
    Type: Grant
    Filed: July 30, 2003
    Date of Patent: October 24, 2006
    Assignee: Intel Corporation
    Inventors: Michael L. McSwiney, Michael D. Goodner
  • Patent number: 7122222
    Abstract: Processes for precursors for silicon dielectric depositions of silicon nitride, silicon oxide and silicon oxynitride on a substrate using a hydrazinosilane of the formula: [R12N—NH]nSi(R2)4?n where each R1 is independently selected from alkyl groups of C1 to C6; each R2 is independently selected from the group consisting of hydrogen, alkyl, vinyl, allyl, and phenyl; and n=1–4. Some of the hydrazinosilanes are novel precursors.
    Type: Grant
    Filed: October 27, 2003
    Date of Patent: October 17, 2006
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Manchao Xiao, Arthur Kenneth Hochberg, Kirk Scott Cuthill
  • Patent number: 7081271
    Abstract: Embodiments of the invention relate to an apparatus and method of cyclical layer deposition utilizing three or more precursors. In one embodiment, the method includes providing at least one cycle of precursors to form a ternary material layer. Providing at least one cycle of precursors includes introducing a pulse of a first precursor, introducing a pulse of a second precursor, and introducing a pulse of a third precursor, wherein the pulses of two of the three precursors are introduced simultaneously or sequentially. In another embodiment, the method includes introducing a pulse of a first precursor, introducing a pulse of a second precursor, repeating the introduction of the first and the second precursors at least one time to form a binary material layer on the substrate surface, and introducing a pulse of a third precursor to form the ternary material layer.
    Type: Grant
    Filed: July 18, 2002
    Date of Patent: July 25, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Hua Chung, Ling Chen, Barry L. Chin
  • Patent number: 7041335
    Abstract: Methods and apparatus of forming titanium tantalum silicon nitride (TixTay(Si)Nz) layers are described. The titanium tantalum silicon nitride (TixTay(Si)Nz) layer may be formed using a cyclical deposition process by alternately adsorbing a titanium-containing precursor, a tantalum-containing precursor, a nitrogen-containing gas and a silicon-containing gas on a substrate. The titanium-containing precursor, the tantalum-containing precursor, the silicon-containing precursor and the nitrogen-containing precursor react to form the titanium tantalum silicon nitride (TixTay(Si)Nz) layer on the substrate. The formation of the titanium tantalum silicon nitride (TixTay(Si)Nz) layer is compatible with integrated circuit fabrication processes. In one integrated circuit fabrication process, the titanium tantalum silicon nitride (TixTay(Si)Nz) layer is used as a diffusion barrier for a copper metallization process.
    Type: Grant
    Filed: May 21, 2003
    Date of Patent: May 9, 2006
    Assignee: Applied Materials, Inc.
    Inventor: Hua Chung
  • Publication number: 20050271818
    Abstract: In a thin film forming method in which a mixture gas which includes a monomer gas and an oxidizing reactive gas is plasmatized and a thin film which is formed of an oxide is formed on a surface of a substrate, the mixture gas is plasmatized while a flow amount ratio of the monomer gas with respect to the reactive gas is varied under the condition that the flow amount ratio is included within at least a specific range. In this case, a thin film forming device 10 in which high frequency electricity is supplied from a high frequency power supply section 30 to a plurality of thin film forming chambers is used. By doing this, it is possible to provide a thin film forming method and a thin film forming device in which it is possible to strictly form a thin film which has characteristics such as a gas barrier property without variation in quality and to provide flexibility to the thin film even if the thin film is formed onto a large number of substrates.
    Type: Application
    Filed: September 26, 2003
    Publication date: December 8, 2005
    Inventors: Toshiaki Kakemura, Hiroto Kashima, Manabu Tsujino
  • Publication number: 20050100670
    Abstract: Silicon nitride film is formed on substrate (112) by feeding trisilylamine and ammonia into a CVD reaction chamber (11) that contains a substrate (112). The ammonia gas/trisilylamine gas flow rate ratio is set to a value of at least about 10 and/or the thermal CVD reaction is run at a temperature no greater than about 600° C. Silicon oxynitride is obtained by introducing an oxygen source gas into the CVD reaction chamber (11). This method avoids the production of ammonium chloride and/or the incorporation of carbonaceous contaminants which are detrimental to the quality of the deposited film.
    Type: Application
    Filed: September 24, 2003
    Publication date: May 12, 2005
    Inventors: Christian Dussarrat, Jean-Marc Girard, Takako Kimura, Naoki Tamaoki, Yuusuke Sato
  • Patent number: 6884473
    Abstract: A method for fabricating a metal silicide layer includes forming a dielectric layer on a substrate, followed by forming a polysilicon material conductive layer on the dielectric layer. An adhesion layer is then formed on the conductive layer, wherein the adhesion layer is a nitrogen rich layer or a nitrogen ion implanted layer. A metal silicide layer is then formed on the adhesion layer. The adhesion between the metal silicide layer and the conductive layer is more desirable due the adhesion layer.
    Type: Grant
    Filed: December 24, 2002
    Date of Patent: April 26, 2005
    Assignee: Macronix International Co., Ltd.
    Inventor: Kent Kuohua Chang
  • Patent number: 6884464
    Abstract: A silicon comprising film and its method of fabrication is described. The silicon comprising film is grown on a substrate. A hexachlorodisilane (HCD) source gas is one of the reactant species used to form the silicon comprising film. The silicon comprising film is formed under a pressure between 10 Torr and 350 Torr.
    Type: Grant
    Filed: November 4, 2002
    Date of Patent: April 26, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Lee Luo, R. Suryanarayanan Iyer, Janardhanan Anand Subramony, Errol Antonio C. Sanchez, Xiaoliang Jin, Aihua Chen, Chang-Lian Yan, Nobuo Tokai, Yuji Maeda, Randhir P. Singh Thakur
  • Patent number: 6881636
    Abstract: The invention includes methods of forming deuterated silicon nitride-containing materials from at least one deuterated nitrogen compound in combination with one or more silicon-containing compounds that do not contain hydrogen isotopes. Suitable deuterated nitrogen compounds can comprise, for example, NH2D, NHD2 and ND3. Suitable silicon-containing compounds include, for example, SiCl4 and Si2Cl6. Deuterated silicon nitride-containing materials of the present invention can be incorporated into, for example, transistor devices. The transistor devices can be utilized in DRAM cells, which in turn can be utilized in electronic systems.
    Type: Grant
    Filed: July 3, 2003
    Date of Patent: April 19, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Ronald A. Weimer, Lyle D. Breiner
  • Publication number: 20050053722
    Abstract: A method for forming a titanium nitride layer. A pre-heating step is performed, wherein a substrate is placed in a chamber comprising inert gas with a pre-heating pressure between 0.1˜3 torr. A TiN deposition step is then performed, wherein the substrate is placed in a reactive gas at least comprising NH3 and TiCl4, and the first TiN deposition step has a reactive pressure of more than 5 torr and a reactive temperature of more than 500° C.
    Type: Application
    Filed: September 16, 2004
    Publication date: March 10, 2005
    Inventor: Ching-Hua Chen
  • Patent number: 6861104
    Abstract: A method of enhancing adhesion strength of a boro-silicate glass (BSG) film to a silicon nitride film is provided. A semiconductor substrate with a silicon nitride film formed thereon is provided. The silicon nitride film is then exposed to oxygen-containing plasma such as ozone plasma. A thick BSG film is then deposited onto the treated surface of the silicon nitride film. By pre-treating the silicon nitride film with ozone plasma for about 60 seconds, an increase of near 50% of Kapp of the BSG film is obtained.
    Type: Grant
    Filed: May 22, 2002
    Date of Patent: March 1, 2005
    Assignee: United Microelectronics Corp.
    Inventors: Hsin-Chang Wu, Cheng-Yuan Tsai, Yu-Wen Fang, Neng-Hui Yang
  • Patent number: 6846516
    Abstract: Embodiments of the present invention relate to an apparatus and method of cyclical deposition utilizing three or more precursors in which delivery of at least two of the precursors to a substrate structure at least partially overlap. One embodiment of depositing a ternary material layer over a substrate structure comprises providing at least one cycle of gases to deposit a ternary material layer. One cycle comprises introducing a pulse of a first precursor, introducing a pulse of a second precursor, and introducing a pulse of a third precursor in which the pulse of the second precursor and the pulse of the third precursor at least partially overlap. In one aspect, the ternary material layer includes, but is not limited to, tungsten boron silicon (WBxSiy), titanium silicon nitride (TiSixNy), tantalum silicon nitride (TaSixNy), silicon oxynitride (SiOxNy), and hafnium silicon oxide (HfSixOy).
    Type: Grant
    Filed: April 8, 2002
    Date of Patent: January 25, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Michael Xi Yang, Hyungsuk Alexander Yoon, Hui Zhang, Hongbin Fang, Ming Xi
  • Publication number: 20040224089
    Abstract: Embodiments of the invention generally provide a composition of silicon compounds and methods for using the silicon compounds to deposit a silicon-containing film. The processes employ introducing the silicon compound to a substrate surface and depositing a portion of the silicon compound, the silicon motif, as the silicon-containing film. The ligands are another portion of the silicon compound and are liberated as an in-situ etchant. The in-situ etchants supports the growth of selective silicon epitaxy. Silicon compounds include SiRX6, Si2RX6, Si2RX8, wherein X is independently hydrogen or halogen and R is carbon, silicon or germanium. Silicon compound also include compounds comprising three silicon atoms, fourth atom of carbon, silicon or germanium and atoms of hydrogen or halogen with at least one halogen, as well as, comprising four silicon atoms, fifth atom of carbon, silicon or germanium and atoms of hydrogen or halogen with at least one halogen.
    Type: Application
    Filed: October 17, 2003
    Publication date: November 11, 2004
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Kaushal K. Singh, Paul B. Comita, Lance A. Scudder, David K. Carlson
  • Patent number: 6815003
    Abstract: A method for fabricating an electrode for lithium secondary battery formed by depositing a thin film composed of active material capable of lithium storage and release, on a metallic foil to be used as a current collector, in which the surface of the metallic foil is roughened through wet-etching and then the thin film is deposited on the roughened surface.
    Type: Grant
    Filed: November 29, 2001
    Date of Patent: November 9, 2004
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Hiromasa Yagi, Koji Endo, Hisaki Tarui, Hiroshi Okano, Shingo Nakano
  • Patent number: 6815014
    Abstract: A process for creating plasma polymerized deposition on a substrate by a corona discharge is described. The corona discharge is created between an electrode and a counterelectrode supporting a substrate. A mixture of a balance gas and a working gas is flowed rapidly through the electrode, plasma polymerized by corona discharge, and deposited onto the substrate as an optically clear coating or to create surface modification. The process, which is preferably carried out at or near atmospheric pressure, can be designed to create an optically clear powder-free or virtually powder free deposit of polymerized plasma that provides a substrate with properties such as surface modification, chemical resistance, and barrier to gases.
    Type: Grant
    Filed: February 3, 2003
    Date of Patent: November 9, 2004
    Assignee: Dow Global Technologies Inc.
    Inventors: Aaron M. Gabelnick, Richard T. Fox, Ing-Feng Hu, Dmitry P. Dinega
  • Patent number: 6815007
    Abstract: A method for reducing contaminants in a processing chamber having an inner wall by seasoning the walls. The method comprising the following steps. A first USG film is formed over the processing chamber inner wall. An FSG film is formed over the first USG film. A second USG film is formed over the FSG film. A nitrogen-containing film is formed over the second USG film wherein the first USG film, the FSG film, the second USG film and the nitrogen-containing film comprise a UFUN season film.
    Type: Grant
    Filed: March 4, 2002
    Date of Patent: November 9, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ming-Hwa Yoo, Shih-Chi Lin, Yi-Lung Cheng, Szu-An Wu, Ying-Lang Wang
  • Patent number: 6797337
    Abstract: A method and apparatus for delivering precursors to a chemical vapor deposition or atomic layer deposition chamber is provided. The apparatus includes a temperature-controlled vessel containing a precursor. An energy source is used to vaporize the precursor at its surface such that substantially no thermal decomposition of the remaining precursor occurs. The energy source may include a carrier gas, a radio frequency coupling device, or an infrared irradiation source. After the precursor is exposed to the energy source, the vaporized portion of the precursor is transported via a temperature-controlled conduit to a chemical vapor deposition or atomic deposition chamber for further processing.
    Type: Grant
    Filed: August 19, 2002
    Date of Patent: September 28, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Ross S. Dando, Craig M. Carpenter, Allen P. Mardian, Garo J. Derderian, Dan Gealy
  • Patent number: 6793969
    Abstract: A CVD process of forming a conductive film containing Ti, Si and N includes a first step of supplying gaseous sources of Ti, Si and N simultaneously to grow a conductive film and a second step of supplying the gaseous sources of Ti, Si and N in a state that a flow rate of the gaseous source of Ti is reduced, to grow the conductive film further, wherein the first step and the second step are conducted alternately.
    Type: Grant
    Filed: August 2, 2002
    Date of Patent: September 21, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Yukihiro Shimogaki, Yumiko Kawano
  • Patent number: 6780476
    Abstract: An object of the present invention is to provide a liquid material for chemical vapor deposition (CVD), a method of forming a film by CVD and a CVD apparatus, capable of achieving film formation of a silicate compound of good quality. A liquid material for CVD includes an organometallic compound, a siloxane compound and an organic solvent for dissolving the organometallic compound and the siloxane compound. If the organometallic compound includes an alcoxyl group (e.g., tertialy-butoxyl group) having a larger number of carbon atoms than a propoxyl group or a &bgr;-diketone group (e.g., 2,2,6,6-tetramethyl-3,5-heptanedionate group), the stability in film formation is improved. As the organic solvent, diethyl ether, tetrahydrofuran, nor-octane, iso-octane and the like may be employed. As the siloxane compound, tri-metoxy-silane having a high degree of solubility in a nonsolar solvent and hexa-methyl-di-siloxane and octa-methyl-cycro-tetra-siloxane both having solubility in a polar solvent may be employed.
    Type: Grant
    Filed: August 1, 2002
    Date of Patent: August 24, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Tsuyoshi Horikawa
  • Publication number: 20040149225
    Abstract: The present invention describes a method and an apparatus for plasma coating the inside surface of a container to provide an effective barrier against gas transmission. The method provides a way to deposit rapidly and uniformly very thin and nearly defect-free layers of polyorganosiloxane and silicon oxide on the inner surface of a container to achieve more than an order of magnitude increase in barrier properties.
    Type: Application
    Filed: November 10, 2003
    Publication date: August 5, 2004
    Inventors: Christopher M. Weikart, Paul J. O'Connor, Ing-Feng Hu
  • Patent number: 6767582
    Abstract: This invention concerns a method for modifying a source material used in an ALD process, a method for depositing transition metal nitride thin films by an ALD process and apparatus for use in such process. According to the present invention transition metal source materials are reduced by vaporizing a metal source material, conducting the vaporized metal source material, into a reducing zone comprising a solid reducing agent maintained at an elevated temperature. Thereafter, the metal source material is contacted with the solid or liquid reducing agent in order to convert the source material into a reduced metal compound and reaction byproducts having a sufficiently high vapor pressure for transporting in gaseous form.
    Type: Grant
    Filed: April 11, 2002
    Date of Patent: July 27, 2004
    Assignee: ASM International NV
    Inventor: Kai-Erik Elers
  • Patent number: 6746709
    Abstract: The invention relates to a method for manufacture of a semiconductor component by the formation of a hydrogenous layer containing silicon on a substrate comprising or containing silicon such as a wafer or film. In order to achieve a good surface and volume passivation, it is proposed that during formation of the siliceous layer in the form of SiNxOy with 0<x≦1.5 and 0≦y≦2 one or more catalytically acting dopants are selectively added into the layer which release hydrogen from the SiNxOy layer. The concentration C of the dopants is 1×1014 cm3≦C≦1021 cm3.
    Type: Grant
    Filed: October 18, 2002
    Date of Patent: June 8, 2004
    Assignee: RWE Schott Solar GmbH
    Inventors: Thomas Lauinger, Ingo Schwirtlich, Jens Moschner