Inorganic Carbon Containing Coating Material, Not As Steel (e.g., Carbide, Etc.) Patents (Class 427/577)
  • Patent number: 11634327
    Abstract: The present disclosure belongs to the technical filed of new carbon materials and relates to a novel sp2-sp3 hybrid crystalline carbon named Gradia and its preparation process. A novel sp2-sp3 hybrid carbon named Gradia is synthesized using sp2 hybrid carbon as raw materials under high temperature and high pressure. The basic structural units of Gradia are composed of sp2 hybrid graphite-like structural units and sp3 hybrid diamond-like structural units. Gradia disclosed in the present disclosure is a class of new sp2-sp3 hybrid carbon allotrope, whose crystal structure can vary with the widths and/or crystallographic orientation relationships of internal sp2 and/or sp3 structural units.
    Type: Grant
    Filed: March 26, 2020
    Date of Patent: April 25, 2023
    Assignee: YANSHAN UNIVERSITY
    Inventors: Zhisheng Zhao, Kun Luo, Bing Liu, Wentao Hu, Lei Sun, Julong He, Dongli Yu, Yongjun Tian, Bo Xu, Zhongyuan Liu
  • Patent number: 11594398
    Abstract: An apparatus for plasma processing is configured to generate plasma in a chamber and periodically apply a pulsed negative DC voltage to an upper electrode from a DC power supply in the plasma processing on a substrate and in plasma cleaning. A duty ratio of the pulsed negative DC voltage used for the plasma processing is smaller than a duty ratio of the pulsed negative DC voltage used for the plasma cleaning. An absolute value of an average value of an output voltage of the DC power supply used for the plasma processing is smaller than an absolute value of an average value of the output voltage of the DC power supply used for the plasma cleaning.
    Type: Grant
    Filed: February 13, 2020
    Date of Patent: February 28, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yusuke Aoki, Fumiya Takata, Toshikatsu Tobana, Shinya Morikita, Kazunobu Fujiwara, Jun Abe, Koichi Nagami
  • Patent number: 11515149
    Abstract: Methods for seam-less gapfill comprising forming a flowable film by exposing a substrate surface to a silicon-containing precursor and a co-reactant are described. The silicon-containing precursor has at least one akenyl or alkynyl group. The flowable film can be cured by any suitable curing process to form a seam-less gapfill.
    Type: Grant
    Filed: July 19, 2017
    Date of Patent: November 29, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Lakmal C. Kalutarage, Mark Saly, David Thompson, Abhijit Basu Mallick, Tejasvi Ashok, Pramit Manna
  • Patent number: 11377357
    Abstract: A solid state combinatorial synthesis of particulate diamond ranging in size from the macroscopic down to the nanoscale, which entails: a) forming a solution having a source of reactant atoms, a tetrahedranoidal compound reactant, and a solvent vehicle; b) forming liquid droplets of the solution; c) evaporating the solvent vehicle from the liquid droplets of the solution to form particles containing a homogenous solid mixture of the reactants; and d) exposing the particles of the homogeneous solid mixture to a high energy discharge thereby forming diamond particles.
    Type: Grant
    Filed: May 10, 2017
    Date of Patent: July 5, 2022
    Assignee: Unit Cell Diamond
    Inventors: Arnold L. Newman, Daniel Hodes
  • Patent number: 11373877
    Abstract: Methods and apparatus for producing high aspect ratio features in a substrate using reactive ion etching. In some embodiments, a method comprises flowing acetylene gas into a process chamber to produce a diamond like carbon deposition on a pattern mask or on at least one layer of oxide or nitride on the substrate, flowing a gas mixture of a first gas of a hydrofluorocarbon-based gas and a second gas of a fluorocarbon-based gas into the process chamber, forming a plasma from the gas mixture using an RF power source and at least one RF bias power source, performing an anisotropic etch of the at least one layer of oxide or nitride on the substrate using the pattern mask, and evacuating the process chamber while interrupting the RF power source to stop plasma formation.
    Type: Grant
    Filed: April 13, 2020
    Date of Patent: June 28, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Daisuke Shimizu, Taiki Hatakeyama, Shinichi Koseki, Sean S. Kang, Jairaj Joseph Payyapilly, Hikaru Watanabe
  • Patent number: 11043379
    Abstract: Methods for depositing an amorphous carbon layer on a substrate are described. A substrate is exposed to a carbon precursor having a structure of Formula (I). Also described are methods of etching a substrate, including forming an amorphous carbon hard mask on a substrate by exposing the substrate to a carbon precursor having the structure of Formula (I).
    Type: Grant
    Filed: June 6, 2019
    Date of Patent: June 22, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Pramit Manna, Abhijit Basu Mallick
  • Patent number: 11043372
    Abstract: Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of high-density films for patterning applications. In one implementation, a method of processing a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck. The substrate is maintained at a pressure between about 0.5 mTorr and about 10 Torr. The method further includes generating a plasma at the substrate level by applying a first RF bias to the electrostatic chuck to deposit a diamond-like carbon film on the substrate. The diamond-like carbon film has a density greater than 1.8 g/cc and a stress less than ?500 MPa.
    Type: Grant
    Filed: May 15, 2018
    Date of Patent: June 22, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Eswaranand Venkatasubramanian, Samuel E. Gottheim, Yang Yang, Pramit Manna, Kartik Ramaswamy, Takehito Koshizawa, Abhijit Basu Mallick, Srinivas Gandikota
  • Patent number: 11038155
    Abstract: A film formation apparatus according to an embodiment comprising: a substrate holder for holding a substrate in a standing position relative to the horizontal plane, the substrate having a vapor deposition surface on which a vapor deposition layer is formed; and an evaporation source to supply a vapor deposition material onto the vapor deposition surface while moving relative to the substrate holder upward and/or downward, the evaporation source being disposed in a region which the vapor deposition surface of the substrate held by the substrate holder is to face. The substrate holder is configured to hold the substrate in an inclined orientation relative to the vertical plane such that the upper end of the substrate is located away from the evaporation source. The apparatus further comprises an adjustment means for reducing a variation in the thickness of the vapor deposition layer, which results from the inclination of the substrate.
    Type: Grant
    Filed: March 8, 2018
    Date of Patent: June 15, 2021
    Assignee: SAKAI DISPLAY PRODUCTS CORPORATION
    Inventors: Hidenori Ogata, Susumu Sakio, Katsuhiko Kishimoto
  • Patent number: 10961123
    Abstract: Methods and devices to synthesize vertically aligned carbon nanotube (VACNT) arrays directly on a catalytic conductive substrate without addition of an external metallic catalyst layer and without any pretreatment to the substrate surface using a plasma enhanced chemical vapor deposition (PECVD) method are provided. A method comprises providing a catalytic conductive substrate, that has not been pretreated through a plasma enhanced chemical vapor deposition (PECVD) method or other methods, to a PECVD device, etching the catalytic conductive substrate to form catalytically active nano-features on the surface of the catalytic conductive substrate, and growing vertically aligned carbon nanotubes on the surface of the catalytic conductive substrate, without an external metallic catalyst layer, by providing a carbon source gas to the catalytic conductive substrate.
    Type: Grant
    Filed: June 10, 2019
    Date of Patent: March 30, 2021
    Assignee: The Florida International University Board of Trustees
    Inventor: Wenzhi Li
  • Patent number: 10611679
    Abstract: Certain example embodiments of this invention relate to coated articles including noble metal (e.g., Ag) and polymeric hydrogenated diamond like carbon (DLC) (e.g., a-C:H, a-C:H:O) composite material having antibacterial and photocatalytic properties, and/or methods of making the same. A glass substrate supports a buffer layer, a matrix comprising the noble metal and DLC, a proton-conducting layer that may comprising zirconium oxide in certain example embodiments, and a layer comprising titanium oxide. The layer comprising titanium oxide may be photocatalytic and optionally may further include carbon and/or nitrogen. The proton-conducting layer may facilitate the creation of electron-hole pairs and, in turn, promote the antibacterial properties of the coated article. The morphology of the layer comprising titanium oxide and/or channels formed therein may enable Ag ions produced from matrix to migrate therethrough.
    Type: Grant
    Filed: October 26, 2017
    Date of Patent: April 7, 2020
    Assignee: GUARDIAN GLASS, LLC
    Inventor: Vijayen S. Veerasamy
  • Patent number: 10519539
    Abstract: Systems and methods herein produce an isolated carbon particle embedded diamond-like carbon (DLC) coating having increased toughness, increased hardness, and enhanced wear resistance. In embodiments, a physical vapor deposition (PVD) chamber houses a pure graphite target at a distance from a substrate, and an in-situ synthesis of isolated carbon particles simultaneous with hydrogen-free DLC coating deposition is provided through unbalanced magnetron sputtering by localized injection of helium gas in a pulse mode with different durations. The resultant coating may include carbon while omitting other elements, and the carbon particles form covalent bonding with the host DLC matrix. Processes disclosed herein are easier to control and manipulate as compared to traditional metal doped DLC coatings.
    Type: Grant
    Filed: March 24, 2017
    Date of Patent: December 31, 2019
    Assignee: City University of Hong Kong
    Inventors: Kwok Yan Li, Abdul Wasy Zia, Zhifeng Zhou
  • Patent number: 10490451
    Abstract: A process for fabricating a transistor structure produced sequentially, comprises at least one string of the following steps: producing at least one first transistor from a first semiconductor layer possibly made of silicon; encapsulating at least the first transistor with at least one first dielectric layer defining a first assembly; bonding a second dielectric layer located on the surface of a second semiconductor layer possibly made of silicon, to the first dielectric layer; depositing a planarizing material layer on the surface of the second semiconductor layer; selectively etching the planarizing material layer, to the second semiconductor layer; and producing at least one second transistor from the second semiconductor layer.
    Type: Grant
    Filed: June 16, 2017
    Date of Patent: November 26, 2019
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Laurent Brunet, Nicolas Posseme
  • Patent number: 10468226
    Abstract: In one embodiment, an ion extraction optics for extracting a plurality of ion beams is provided. The ion extraction optics may include, an extraction plate, the extraction plate defining a cut-out region, the cut-out region being elongated along a first direction. The extraction apparatus may include a slidable insert, the slidable insert disposed to overlap the cut-out region, and slidably movable with respect to the extraction plate, along the first direction, wherein the slidable insert and cut-out region define a first aperture and a second aperture.
    Type: Grant
    Filed: September 21, 2018
    Date of Patent: November 5, 2019
    Assignee: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Costel Biloiu, Jon Ballou, James P. Buonodono
  • Patent number: 10351948
    Abstract: The present invention relates to a method of diamond nucleation, comprising the following steps: providing a substrate and forming a graphene layer on a surface of the substrate; providing a reaction chamber and disposing the substrate in the reaction chamber; providing a gas mixture in the reaction chamber, wherein the gas mixture includes a carbon-containing gas; and forming a plasma in the reaction chamber to cause the carbon-containing gas to react and form a plurality of diamond nuclei on a surface of the graphene layer. The present invention also relates to a structure formed by the aforesaid method, comprising: a substrate; a graphene layer disposed on the substrate; and a plurality of diamond particles formed on the graphene layer.
    Type: Grant
    Filed: May 19, 2016
    Date of Patent: July 16, 2019
    Assignee: NATIONAL CHENG KUNG UNIVERSITY
    Inventors: Yonhua Tzeng, Chih-Chun Chang
  • Patent number: 10115593
    Abstract: Embodiments include a method of processing a hardmask that includes forming an alloyed carbon hardmask over an underlying layer. In an embodiment, the alloyed carbon hardmask is alloyed with metallic-carbon fillers. The embodiment further includes patterning the alloyed carbon hardmask and transferring the pattern of the alloyed carbon hardmask into the underlying layer. According to an embodiment, the method may further include removing the metallic component of the metallic-carbon fillers from the alloyed carbon hardmask to form a porous carbon hardmask. Thereafter, the porous hardmask may be removed. In an embodiment, the metallic component of the metallic-carbon fillers may include flowing a processing gas into a chamber that volatizes the metallic component of the metallic-carbon fillers.
    Type: Grant
    Filed: December 6, 2017
    Date of Patent: October 30, 2018
    Assignee: Applied Materials, Inc.
    Inventors: David Knapp, Simon Huang, Jeffrey W. Anthis, Philip Alan Kraus, David Thompson
  • Patent number: 10074543
    Abstract: Methods and apparatuses for depositing low density spacers using atomic layer deposition for negative patterning schemes are provided herein. Methods involve one or more of: (1) exposing a substrate to a plasma for a duration less than about 300 ms in each cycle of alternating pulses of a deposition precursor and oxidizing plasma; (2) exposing the substrate to the plasma at a radio frequency power density of less than about 0.2 W/cm2; and (3) exposing the substrate to the plasma produced from a process gas having an argon to oxidant ratio of at least about 1:12.
    Type: Grant
    Filed: August 31, 2016
    Date of Patent: September 11, 2018
    Assignee: Lam Research Corporation
    Inventors: Arpan Mahorowala, Ishtak Karim, Purushottam Kumar, Shankar Swaminathan, Adrien LaVoie
  • Patent number: 9943822
    Abstract: A nanometer-size-particle production apparatus and method are provided which can prevent the occurrence of waste fluids, and which makes quick and continuous syntheses feasible while suppressing damages to the electrode. The nanometer-size-particle production apparatus is for synthesizing nanometer size particles in a liquid by means of plasma in the liquid.
    Type: Grant
    Filed: February 22, 2016
    Date of Patent: April 17, 2018
    Assignee: NATIONAL UNIVERSITY CORPORATION EHIME UNIVERSITY
    Inventors: Yoshiaki Hattori, Shinfuku Nomura, Hiromichi Toyota, Shinobu Mukasa
  • Patent number: 9815736
    Abstract: The present application provides methods and apparatus for processing ceramic fibers for the manufacture of ceramic matrix composites (CMCs). One method may include providing at least one frame including a planar array of unidirectional ceramic fibers extending across a void thereof. The method may further include depositing a coating on the ceramic fibers of the at least one frame via a chemical vapor deposition (CVD) process. The method may also include impregnating the coated ceramic fibers with a slurry including a ceramic matrix precursor composition to form at least one CMC prepreg, such as a prepreg tape. The ceramic fibers may be substantially SiC fibers, for example.
    Type: Grant
    Filed: November 25, 2015
    Date of Patent: November 14, 2017
    Assignee: General Electric Company
    Inventors: Daniel Gene Dunn, James Anthony Ruud, Pierre-Andre Bui, Gregory Scot Corman, James Scott Vartuli
  • Patent number: 9534315
    Abstract: Diamond is grown on a substrate (S) from a mixture of a carbon-containing gas and hydrogen gas, by a DC plasma enhanced CVD process of applying a DC voltage between a stage electrode (12) for holding the substrate (S) and a voltage-applying electrode (13). During the step of growing diamond by applying a DC voltage, a single pulse voltage of opposite polarity to the DC voltage for diamond growth is applied between the stage electrode and the voltage-applying electrode at a predetermined timing. Diamond of quality is produced at a stable growth rate.
    Type: Grant
    Filed: July 30, 2013
    Date of Patent: January 3, 2017
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Hitoshi Noguchi
  • Patent number: 9239307
    Abstract: Methods of screening a polycrystalline diamond element for suitability for electrical discharge machining (“EDM”). The method includes providing a PCD element including a plurality of bonded diamond grains, determining at least one characteristic of the PCD table correlated to electrical conductivity of the PCD element, and EDM the PCD element if the value of the at least one characteristic correlates to an electrical conductivity above a threshold value.
    Type: Grant
    Filed: August 8, 2014
    Date of Patent: January 19, 2016
    Assignee: US SYNTHETIC CORPORATION
    Inventor: S. Barrett Peterson
  • Patent number: 9187824
    Abstract: A process for rapid synthesis of few-layer graphene films on Cu foil by microwave plasma chemical vapor deposition (MPCVD). The plasma/metal interaction can be useful for a rapid synthesis of such thin films. The process can produce films of controllable quality from amorphous to highly crystalline by adjusting plasma conditions during growth processes of Ëś100 sec duration and with little or no supplemental substrate heating. Films have been characterized using Raman spectroscopy, scanning electron microscopy, transmission electron microscopy and X-ray photoelectron spectroscopy. The results help to identify the stages involved in the MPCVD deposition of thin carbon films on Cu foil. In yet other embodiments, the films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics.
    Type: Grant
    Filed: October 7, 2012
    Date of Patent: November 17, 2015
    Assignee: Purdue Research Foundation
    Inventors: Timothy S. Fisher, Anurag Kumar
  • Patent number: 9183965
    Abstract: A film is formed under vacuum by a step of purifying and/or flattening the base material (13) by irradiating the base material (13) with a gas cluster ion beam (4a); by a step of forming an intermediate layer film by evaporating/vaporizing an intermediate layer film forming material, allowing the evaporated/vaporized material to adhere to the surface of the base material (13), and irradiating the intermediate layer film forming material with a gas cluster ion beam (4a); and by evaporating/vaporizing a carbon film forming material containing a carbonaceous material containing substantially no hydrogen, and a boron material, allowing the evaporated/vaporized material to adhere to the surface of the intermediate layer film, and irradiating the carbon film forming material with a gas cluster ion beam (4a).
    Type: Grant
    Filed: November 28, 2011
    Date of Patent: November 10, 2015
    Assignee: NOMURA PLATING CO., LTD.
    Inventors: Teruyuki Kitagawa, Shuhei Nomura
  • Patent number: 9175384
    Abstract: The invention relates to a body with a substrate (1), an intermediate layer (2) applied on top thereof and a CVD diamond layer (3) applied to the intermediate layer. In order to propose a body coated with CVD diamond and a production process, in which the body has an increased load-bearing capacity under various mechanical loads, provision is made for the intermediate layer to be predominantly metallic, wherein the metal fraction of the intermediate layer consists predominantly of tungsten and/or chromium, and for the intermediate layer to have a roughness defined by an Rz value of 0.5 ?m-3.0 ?m.
    Type: Grant
    Filed: May 2, 2011
    Date of Patent: November 3, 2015
    Assignee: CemeCon AG
    Inventors: Christian Bareiss, Werner Koelker, Oliver Lemmer
  • Patent number: 9174847
    Abstract: Methods and processes for synthesizing high quality carbon single-walled nanotubes (SWNTs) are provided. A carbon precursor gas at reduced concentration (pressure) is contacted with a catalyst deposited on a support and at temperature about 10° C. above the SWNT synthesis onset temperature, but below the thermal decomposition temperature of the carbon precursor gas for given growth conditions. The concentration (pressure) of the carbon precursor gas can be controlled by reducing the total pressure of the gas, or by diluting with an inert carrier gas, or both. The methods produce SWNTs with the ratio of G-band to D-band in Raman spectra (IG:ID) of about 5 to about 200.
    Type: Grant
    Filed: May 1, 2008
    Date of Patent: November 3, 2015
    Assignee: Honda Motor Co., LTD.
    Inventors: Avetik R. Harutyunyan, Oleg Kuznetsov
  • Patent number: 9157152
    Abstract: A system for depositing a thin film on a substrate using a vapor deposition process is described. The deposition system includes a process chamber having a vacuum pumping system configured to evacuate the process chamber, a substrate holder coupled to the process chamber and configured to support the substrate, a gas distribution system coupled to the process chamber and configured to introduce a film forming composition to a process space in the vicinity of a surface of the substrate, a non-ionizing heat source separate from the substrate holder that is configured to receive a flow of the film forming composition and to cause thermal fragmentation of one or more constituents of the film forming composition when heated, and one or more power sources coupled to the heating element array and configured to provide an electrical signal to the at least one heating element zone.
    Type: Grant
    Filed: February 10, 2011
    Date of Patent: October 13, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Jacques Faguet, Eric M. Lee
  • Patent number: 9153389
    Abstract: Provided is a carbon nanotube-graphene composite comprising a substrate, a graphene layer disposed on the substrate, and a patterned layer of aligned carbon nanotubes disposed on the graphene layer.
    Type: Grant
    Filed: June 27, 2013
    Date of Patent: October 6, 2015
    Assignee: National Taiwan University of Science and Technology
    Inventors: Yi-Ting Shih, Ying-Sheng Huang, Kuei-Yi Lee
  • Patent number: 9123508
    Abstract: A plasma generator includes a chamber for confining a feed gas. An anode is positioned inside the chamber. A cathode assembly is positioned adjacent to the anode inside the chamber. A pulsed power supply comprising at least two solid state switches and having an output that is electrically connected between the anode and the cathode assembly generates voltage micropulses. A pulse width and a duty cycle of the voltage micropulses are generated using a voltage waveform comprising voltage oscillation having amplitudes and frequencies that generate a strongly ionized plasma.
    Type: Grant
    Filed: January 20, 2011
    Date of Patent: September 1, 2015
    Assignee: Zond, LLC
    Inventors: Roman Chistyakov, Bassam Hanna Abraham
  • Patent number: 9082528
    Abstract: The present invention provides a method for fabricating a carbon nanotube-loaded electrode enabling that hybrid carbon nanotubes comprising dendrimer-encapsulated metal nanoparticles covalently immobilized on carbon nanotubes via a first covalent bond are made and such hybrid carbon nanotubes are then covalently immobilized on a metal electrode coated with a self-assembled monolayer via a second covalent bond. Also provided is a carbon nanotube-loaded electrode made by the method. The electrode thus made possesses high durability, reactivity and stability.
    Type: Grant
    Filed: December 23, 2011
    Date of Patent: July 14, 2015
    Assignee: NATIONAL TAIWAN UNIVERSITY OF SCIENCE AND TECHNOLOGY
    Inventors: Toyoko Imae, Ampornphan Siriviriyanun
  • Patent number: 9079766
    Abstract: A method for bulk separation of single-walled tubular fullerenes (100) based on chirality is provided wherein an array of longitudinally directed channels (32) are formed on a crystalline substrate (30) to form a separation plate (300). At least one of the separation plate (300) and a solution or suspension of the single-walled tubular fullerenes (100) is displaced relative to the other for so exposing at least a portion of the plurality of single-walled tubular fullerenes (100) to the channels (32). Each of the channels (32) exposes portions of the upper surface (38) of the crystalline substrate (30) and the longitudinal direction is selected to be an energetically favored “locking angle” for single-walled tubular fullerenes (100a) of one chiral angle to be adsorbed to the exposed substrate surface (38). The adsorbed angle-walled tubular fullerenes (100a) are subsequently removed from the separation plate (300).
    Type: Grant
    Filed: September 27, 2010
    Date of Patent: July 14, 2015
    Assignee: The Mitre Corporation
    Inventors: Carl A. Picconatto, James C. Ellenbogen
  • Patent number: 9055660
    Abstract: A system and method for generating a plasma. An embodiment of the system for generating a plasma may include a first electrode; a second electrode disposed adjacent the first electrode; a first power supply for supplying power at the second electrode; a second power supply for generating a magnetic field; and a sequencer for coordinating a discharge of power from the first power supply and a discharge of power from the second power supply. The first power supply may be configured such that the discharge of power from the first power supply generates a plasma between the first electrode and the second electrode. The second power supply may be configured such that the magnetic field generated by the discharge of power from the second power supply rotates the plasma.
    Type: Grant
    Filed: August 27, 2012
    Date of Patent: June 9, 2015
    Inventor: Jack Hunt
  • Patent number: 9040127
    Abstract: Methods for formation of silicon carbide on substrate are provided. Atomic layer deposition methods of forming silicon carbide are described in which a first reactant gas of the formula SinHaXb wherein n=1-5, a+b=2n+2, a>0, and X=F, Cl, Br, I; and a second reactant gas of the formula MR3-bYb, wherein R is a hydrocarbon containing substituent, Y is a halide, hydride or other ligand and b=1-3 are sequentially deposited on a substrate and then exposed to a plasma. The process can be repeated multiple times to deposit a plurality of silicon carbide layers.
    Type: Grant
    Filed: July 25, 2011
    Date of Patent: May 26, 2015
    Assignee: Applied Materials, Inc.
    Inventor: David Thompson
  • Publication number: 20150135993
    Abstract: A method of treating particles by disaggregating, deagglomerating, exfoliating, cleaning, functionalising, doping, decorating and/or repairing said particles, in which the particles are subjected to plasma treatment in a treatment chamber containing a plurality of electrodes which project therein and wherein plasma is generated by said electrodes which are moved during the plasma treatment to agitate the particles.
    Type: Application
    Filed: November 12, 2014
    Publication date: May 21, 2015
    Inventors: John Buckland, Dylan Walters
  • Publication number: 20150140234
    Abstract: The invention relates to a device for manufacturing nanostructures consisting of carbon, such as monolayers, multilayer sheet structures, tubes, or fibers having a gas inlet element (2) having a housing cavity (5) enclosed by housing walls (3, 3?, 3?), into which a gas feed line (6) opens, through which a gaseous, in particular carbonaceous starting material can be fed into the housing cavity (5), having a plasma generator, which has components (8, 9, 10) arranged at least partially in the housing cavity (5), which has at least one plasma electrode (9) to which electrical voltage can be applied, to apply energy to the gaseous starting material by igniting a plasma and thus convert it into a gaseous intermediate product, and having a gas outlet surface (4) having a plurality of gas outlet openings (7), through which the gaseous intermediate product can exit out of the housing cavity (5). A gas heating unit (11) is provided for assisting the conversion, which is arranged downstream of the components (8, 9, 10).
    Type: Application
    Filed: November 19, 2014
    Publication date: May 21, 2015
    Inventors: Ian Blackburn, Brian Lu, Kenneth Teo, Nalin Rupesinghe
  • Publication number: 20150136737
    Abstract: Methods of growing a multilayer graphene film (10) include flowing a weak oxidizing vapor (OV) and a gaseous carbon source (CS) over a surface (SGC) of a carbonizing catalyst (GC) in a CVD reaction chamber (2). Carbon atoms (C) deposit on the carbonizing catalyst surface to form sheets of single-layer graphene (12) upon cooling. The method generates a substantially uniform stacking of graphene layers to form the multilayer graphene film. The multilayer graphene film is substantially uniform and has a relatively large scale as compared to graphene films formed by prior-art methods.
    Type: Application
    Filed: May 17, 2013
    Publication date: May 21, 2015
    Inventors: Kian Ping Loh, Kai Zhang, Antonio Helio Castro Neto
  • Patent number: 9034468
    Abstract: Embodiments presented herein relate generally to the formation of diamond-like carbon, forms of diamond-like carbon and/or carbon dioxide fixation.
    Type: Grant
    Filed: November 29, 2011
    Date of Patent: May 19, 2015
    Assignee: XI'AN JIAOTONG UNIVERSITY
    Inventors: Yun-hai Wang, Qing-yun Chen, Xiang-lin Li, Jing-lian Zhao
  • Publication number: 20150132506
    Abstract: Disclosed is a method for preparing structured graphene on a SiC substrate on the basis of Cl2 reaction, the procedures are as follows: firstly, performing standard cleaning to a SiC sample chip; depositing a layer of SiO2 on the surface of the SiC sample chip and engraving a figure window on the SiO2 layer; then arranging the windowed sample chip in a quartz tube, introducing a mixed gas of Ar and Cl2 into the quartz tube, reacting the bare SiC with Cl2 for 3-8 min at 700-1100° C. to generate a carbon film; arranging the generated carbon film in Ar gas, annealing for 10-30 min at 1000-1200° C. to generate the structured graphene on the window on the carbon film. The method is simple and safe; the generated structured graphene has a smooth surface and low porosity and can be used for making microelectronic devices.
    Type: Application
    Filed: December 31, 2012
    Publication date: May 14, 2015
    Inventors: Hui Guo, Keji Zhang, Yuming Zhang, Pengfei Deng, Tianmin Lei, Fengqi Zhang
  • Publication number: 20150132539
    Abstract: A coated device comprising a body, a coating on at least a portion of a surface of the body, wherein the coating comprises, a terminal layer, and at least one underlayer positioned between the terminal layer and the body, the underlayer comprising a hardness of greater than 61 HRc, wherein prior to the addition of the terminal layer, at least one of the body and the underlayer is polished to a surface roughness of less than or equal to 1.0 micrometer Ra.
    Type: Application
    Filed: August 28, 2014
    Publication date: May 14, 2015
    Inventors: Jeffrey R. Bailey, Srinivasan Rajagopalan, Mehmet Deniz Ertas, Adnan Ozekcin, Bo Zhao
  • Patent number: 9011985
    Abstract: A process for producing a multilayer film which, even when bent, is less apt to decrease in barrier property or electrical conductivity. The process comprises forming a barrier film and a transparent conductive film on a resin film to produce a multilayer film. The barrier film is formed by a plasma enhanced CVD method which uses electric discharge between rolls. The transparent conductive film is preferably formed by physical vapor deposition. The resin film preferably is a polyester resin film or a polyolefin resin film.
    Type: Grant
    Filed: October 26, 2010
    Date of Patent: April 21, 2015
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Akira Hasegawa, Toshiya Kuroda, Takashi Sanada
  • Patent number: 9005719
    Abstract: Described herein are organoaminosilane precursors which can be used to deposit silicon containing films which contain silicon and methods for making these precursors. Also disclosed herein are deposition methods for making silicon-containing films or silicon containing films using the organoaminosilane precursors described herein. Also disclosed herein are the vessels that comprise the organoaminosilane precursors or a composition thereof that can be used, for example, to deliver the precursor to a reactor in order to deposit a silicon-containing film.
    Type: Grant
    Filed: May 30, 2014
    Date of Patent: April 14, 2015
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Manchao Xiao, Xinjian Lei, Bing Han, Mark Leonard O'Neill, Ronald Martin Pearlstein, Richard Ho, Haripin Chandra, Agnes Derecskei-Kovacs
  • Publication number: 20150099072
    Abstract: A method for forming a Ti-containing film on a substrate by plasma-enhanced atomic layer deposition (PEALD) using tetrakis(dimethylamino)titanium (TDMAT) or tetrakis(diethylamino)titanium (TDEAT), includes: introducing TDMAT and/or TDEAT in a pulse to a reaction space where a substrate is placed; continuously introducing a NH3-free reactant gas to the reaction space; applying RF power in a pulse to the reaction space wherein the pulse of TDMAT and/or TDEAT and the pulse of RF power do not overlap; and repeating the above steps to deposit a Ti-containing film on the substrate.
    Type: Application
    Filed: October 9, 2013
    Publication date: April 9, 2015
    Applicant: ASM IP Holding B.V.
    Inventors: Noboru Takamure, Tatsuhiro Okabe
  • Publication number: 20150099123
    Abstract: Provided herein is a carbon based coating and methods of producing the same. The carbon based coating comprising an amorphous carbon thin film deposited on a substrate, the carbon based coating characterized in that the carbon based coating imparts enhanced surface durability properties.
    Type: Application
    Filed: November 17, 2012
    Publication date: April 9, 2015
    Inventors: Brent William Barbee, Jordan Moriah Larson, Michael Raymond Greenwald, Christopher Shane Alexander, Matthew James Decourcelle, Jamey Tollfeldt Ewing
  • Patent number: 8993197
    Abstract: A bipolar plate for a fuel cell comprises a substrate formed of stainless steel; an oriented amorphous carbon film formed at least on a surface of the substrate facing an electrode, and containing C as a main component, 3 to 20 at. % of N, and more than 0 at. % and not more than 20 at. % of H, and when the total amount of the C is taken as 100 at. %, the amount of C having an sp2 hybrid orbital (Csp2) being not less than 70 at. % and less than 100 at. %, and (002) planes of graphite being oriented along a thickness direction; a mixed layer generated in an interface between the substrate and the oriented amorphous carbon film and containing at least one kind of constituent atoms of each of the substrate and the oriented amorphous carbon film; and a plurality of projections protruding from the mixed layer into the oriented amorphous carbon film and having a mean length of 10 to 150 nm.
    Type: Grant
    Filed: December 24, 2010
    Date of Patent: March 31, 2015
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Takashi Iseki, Kazuyuki Nakanishi, Yasuhiro Ozawa, Yuka Yamada, Hajime Hasegawa, Masafumi Koizumi, Katsutoshi Fujisawa, Naoki Ueda, Hirohiko Hisano
  • Patent number: 8986794
    Abstract: A vapor deposition apparatus efficiently performs a deposition process to form a thin film with improved characteristics on a substrate, and a method manufactures an organic light-emitting display apparatus by using such vapor deposition apparatus. The vapor deposition apparatus includes a body including an upper member and a lateral member coupled to the upper member; a receiving portion disposed to face one side of the lateral member; a stage disposed in the receiving portion and supporting the substrate; a plurality of first injection portions disposed in the lateral member and injecting at least one gas into a space between the lateral member and the upper member; a second injection portion disposed in the upper member and injecting at least one gas into the space between the lateral member and the upper member; and a plasma generating portion including a coil and a power source connected to the coil.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: March 24, 2015
    Assignees: Samsung Display Co., Ltd., Industry-University Cooperation Foundation Hanyang University Erica Campus
    Inventors: Sang-Joon Seo, Jae-Eung Oh
  • Patent number: 8986645
    Abstract: A method of producing a CVD single crystal diamond layer on a substrate includes adding into a DVD synthesis atmosphere a gaseous source comprising silicon. The method can be used to mark the diamond material, for instance to provide means by which its synthetic nature can more easily be determined. It can also be exploited to generate single crystal diamond material of high color.
    Type: Grant
    Filed: July 6, 2006
    Date of Patent: March 24, 2015
    Assignee: Element Six Limited
    Inventors: Daniel James Twitchen, Geoffrey Alan Scarsbrook, Philip Maurice Martineau, Paul Martyn Spear, Stephen David Williams, Ian Friel
  • Patent number: 8974872
    Abstract: A process for producing a multilayer film which, even when bent, is less apt to decrease in barrier property or electrical conductivity. The process comprises forming a barrier film and a transparent conductive film on a resin film to produce a multilayer film. The barrier film is formed by a plasma enhanced CVD method which uses electric discharge between rolls. The transparent conductive film is preferably formed by physical vapor deposition. The resin film preferably is a polyester resin film or a polyolefin resin film.
    Type: Grant
    Filed: October 26, 2010
    Date of Patent: March 10, 2015
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Akira Hasegawa, Toshiya Kuroda, Takashi Sanada
  • Publication number: 20150064365
    Abstract: A method of forming a layer, the method including providing a feedstock, the feedstock including a first component and a second component; ionizing at least part of the feedstock thereby forming a plasma, wherein the plasma includes constituents selected from: the first component, derivatives of the first component, ions of the first component, ions of derivatives of the first component, the second component, derivatives of the second component, ions of the second component, ions of derivatives of the second component, or combinations thereof, and wherein the individual identities, individual ratios, total quantities, or any combination thereof of the first and second component in the feedstock can modulate the makeup of the plasma; forming a beam from the plasma; and forming a layer from the beam, wherein the layer includes at least some portion of at least the first or the second component.
    Type: Application
    Filed: August 29, 2013
    Publication date: March 5, 2015
    Applicant: SEAGATE TECHNOLOGY LLC
    Inventors: Philip George Pitcher, Richard T. Greenlee, Sami C. Antrazi
  • Publication number: 20150056386
    Abstract: A carbon film deposition apparatus includes a nozzle which has a plurality of outlets for discharging source gas into a process chamber, and which includes a gas introduction pipe defining therein a gas flow path communicating with each of the plurality of outlets. A portion of a pipe wall of the gas introduction pipe corresponding to formation regions of the plurality of outlets is formed to have a stepped shape such that diameters of the gas flow path of the pipe wall become smaller as a distance from a side of supply of source gas by source gas supply means increases.
    Type: Application
    Filed: February 7, 2013
    Publication date: February 26, 2015
    Applicant: JTEKT CORPORATION
    Inventors: Masahiro Suzuki, Kazuyoshi Yamakawa, Toshiyuki Saito
  • Patent number: 8962100
    Abstract: The invention relates to a method for depositing a diamond coating onto a substrate, said method resulting in the production of a coating characterized by a novel morphology of the diamond in the form of pyramids containing submicronic grains. The method is carried out by chemical vapor deposition by controlling the applied electric field.
    Type: Grant
    Filed: December 17, 2009
    Date of Patent: February 24, 2015
    Assignee: Centre National de la Recherche Scientifique
    Inventors: Jean-Pierre Manaud, Angéline Poulon, Lionel Teule-Gay, Cyril Faure
  • Patent number: 8962101
    Abstract: High-deposition rate methods for forming transparent ashable hardmasks (AHMs) that have high plasma etch selectivity to underlying layers are provided. The methods involve placing a wafer on a powered electrode such as a powered pedestal for plasma-enhanced deposition. According to various embodiments, the deposition is run at low hydrocarbon precursor partial pressures and/or low process temperatures. Also provided are ceramic wafer pedestals with multiple electrode planes embedded with the pedestal are provided. According to various embodiments, the pedestals have multiple RF mesh electrode planes that are connected together such that all the electrode planes are at the same potential.
    Type: Grant
    Filed: August 23, 2013
    Date of Patent: February 24, 2015
    Assignee: Novellus Systems, Inc.
    Inventors: Pramod Subramonium, Aaron Bingham, Tim Thomas, Jon Henri, Greg Farhner
  • Publication number: 20150044510
    Abstract: A method of protecting a magnetic information storage medium is described. The method includes fabricating a film over a surface of the magnetic information storage medium. The film includes an amorphous, uniform, homogeneous solid solution of carbon, hydrogen, silicon, and oxygen. A magnetic storage medium with such a protective film is described.
    Type: Application
    Filed: September 15, 2014
    Publication date: February 12, 2015
    Inventors: Robert W. Carpick, Kumar Sridharan