Inorganic Carbon Containing Coating Material, Not As Steel (e.g., Carbide, Etc.) Patents (Class 427/577)
  • Patent number: 8951640
    Abstract: Disclosed is a covered member including a base material, a first intermediate layer that has a roughened surface and covers the base material, and a DLC film that covers the surface of the first intermediate layer. The first intermediate layer and the DLC film are formed in a state where the temperature of the base material is kept at 300° C. or lower. The surface of the first intermediate layer is roughened by collision of ions.
    Type: Grant
    Filed: April 8, 2011
    Date of Patent: February 10, 2015
    Assignee: JTEKT Corporation
    Inventors: Masahiro Suzuki, Toshiyuki Saito, Kazuyoshi Yamakawa
  • Publication number: 20150037515
    Abstract: A process for rapid synthesis of few-layer graphene films on Cu foil by microwave plasma chemical vapor deposition (MPCVD). The plasma/metal interaction can be useful for a rapid synthesis of such thin films. The process can produce films of controllable quality from amorphous to highly crystalline by adjusting plasma conditions during growth processes of ˜100 sec duration and with little or no supplemental substrate heating. Films have been characterized using Raman spectroscopy, scanning electron microscopy, transmission electron microscopy and X-ray photoelectron spectroscopy. The results help to identify the stages involved in the MPCVD deposition of thin carbon films on Cu foil. In yet other embodiments, the films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics.
    Type: Application
    Filed: October 7, 2012
    Publication date: February 5, 2015
    Inventors: Timothy S. Fisher, Anurag Kumar
  • Patent number: 8945690
    Abstract: A DLC film mass-producing apparatus 10 includes a chamber 12 connected to ground. In the chamber 12, a plurality of plate-shaped substrates 60 are disposed in parallel at regular intervals, without disposing a counter electrode that faces each of the plate-shaped substrates 60. Sputtering cleaning is then conducted by plasma discharge and an underlying contact layer is formed on each of the plate-shaped substrates 60. Subsequently, a DLC film is produced on each of the plate-shaped substrates 60 by injecting a carbon source gas into the chamber 12 such that the internal pressure of the chamber 12 reaches 0.1 to 10 Pa and applying a negative DC pulse voltage having a pulse half width of 0.1 to 3 ?sec to each of the plate-shaped substrates 60 to generate plasma.
    Type: Grant
    Filed: March 30, 2009
    Date of Patent: February 3, 2015
    Assignee: NGK Insulators, Ltd.
    Inventors: Takao Saito, Masashi Hayakawa
  • Publication number: 20150030792
    Abstract: A polylactic acid formed body including a polylactic acid base material (1) and a hydrocarbon film (3) vapor-deposited on the surface of the base material by a plasma CVD method. The polylactic acid base material (1) exhibits a sharp X-ray diffraction peak in which a half-width of peak appearing in the 10°-25° wide angle X-ray measurement is not more than 1.22°, and the hydrocarbon film (3) is vapor-deposited on the surface of the polylactic acid base material (1), and includes two layers of a high CH2 layer (3a) having a ratio of CH2 per the total of CH, CH2 and CH3 of not less than 40% and a low CH2 layer (3b) formed on the high CH2 layer (3a) and having a ratio of CH2 per the total of CH, CH2 and CH3 of not more than 35%.
    Type: Application
    Filed: February 4, 2013
    Publication date: January 29, 2015
    Applicant: TOYO SEIKAN GROUP HOLDINGS, LTD.
    Inventors: Takuro Ito, Satomi Ozawa, Satoru Kito, Kazuhiko Yamazaki
  • Publication number: 20150029681
    Abstract: A flexible composite comprising a plastic foil, having an upper and a lower surface, and at least one dielectric barrier layer against gases and liquids which is applied directly to at least one of the surfaces by plasma-enhanced thermal vapor deposition and comprises an inorganic vapor-depositable material, is provided. The flexible composite can be used for constructing flexible circuits or displays and has a high barrier effect with regard to oxygen and/or water vapor.
    Type: Application
    Filed: July 11, 2014
    Publication date: January 29, 2015
    Applicant: EVONIK INDUSTRIES AG
    Inventors: Helmut MACK, Philipp ALBERT, Bjoern BORUP, Anil K. SAXENA
  • Publication number: 20150024225
    Abstract: A screen printing film and a surface modification method of the same are provided. The method includes providing a substrate having a PVA film on at least one surface of the substrate. The surface of the substrate is modified by generating a heating source and a plasma source, wherein a heating temperature to the substrate is between 100° C. and 500° C. The step of generating the heating source may be prior to, after, or simultaneous with the step of generating the plasma source.
    Type: Application
    Filed: December 12, 2013
    Publication date: January 22, 2015
    Applicant: Industrial Technology Research Institute
    Inventors: Yu-Ting Lin, Kun-Ping Huang, Chih-Chen Chang
  • Publication number: 20140376346
    Abstract: A method including depositing a plasmonic material at a temperature of at least 150° C.; and forming at least a peg of a near field transducer (NFT) from the deposited plasmonic material.
    Type: Application
    Filed: June 24, 2014
    Publication date: December 25, 2014
    Inventors: Sarbeswar Sahoo, Tong Zhao, Michael C. Kautzky
  • Publication number: 20140356764
    Abstract: An amorphous carbon film contains carbon as a main component, not more than 30 at. % of hydrogen, not more than 20 at. % of nitrogen and not more than 3 at. % of oxygen (all excluding 0 at. %), and when the total amount of the carbon is taken as 100 at. %, the amount of carbon having an sp2 hybrid orbital is not less than 70 at. % and less than 100 at. %. Nitrogen and oxygen are concentrated on a surface side of the film and when detected from a surface layer by X-ray photoelectron spectroscopy, oxygen content ratio is not less than 4 at. % and not more than 15 at. % and nitrogen content ratio is not less than 10 at. % and not more than 30 at. %. The amorphous carbon film attains both electric conductivity and hydrophilicity and exhibits suitable surface characteristics to a fuel cell bipolar plate, etc.
    Type: Application
    Filed: January 25, 2013
    Publication date: December 4, 2014
    Applicant: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventors: Takashi Iseki, Kazuyuki Nakanishi, Yasuhiro Ozawa, Naoki Ueda, Masafumi Koizumi
  • Publication number: 20140352943
    Abstract: A gripping tool includes a gripping element and at least one gripping surface formed on the gripping element. The at least one gripping surface includes a plurality of teeth extending from the gripping element an outer layer. A method to surface process a gripping surface of a gripping tool includes providing the gripping surface in an environment comprising a source of additive material and heating the gripping surface at a temperature and a time to diffuse the additive material a depth into the gripping surface to form a diffusion layer.
    Type: Application
    Filed: May 30, 2014
    Publication date: December 4, 2014
    Applicant: FRANK'S INTERNATIONAL, LLC
    Inventors: Brennan S. Domec, Jeremy Richard Angelle
  • Publication number: 20140349140
    Abstract: To provide a CxNyHz film of high density and a deposition method. One aspect of the present invention is a CxNyHz film formed on a substrate to be deposited, wherein x, y and z satisfy formulae (1) to (4) below: 0.4<x<0.7??(1) 0.01<y<0.5??(2) 0?z<0.3??(3) x+y+z=1.
    Type: Application
    Filed: October 24, 2011
    Publication date: November 27, 2014
    Inventors: Haruhito Hayakawa, Kouji Abe, Keiichi Terashima, Yuuji Honda
  • Publication number: 20140342029
    Abstract: Manufacture of a roller die configured for molding microstructures onto an optical film, includes an uncoated roller and a number of micro-structures formed on an outer surface of the roller, and a layer of diamond-like carbon film being formed on the surfaces of the micro-structures.
    Type: Application
    Filed: May 16, 2014
    Publication date: November 20, 2014
    Applicant: HON HAI PRECISION INDUSTRY CO., LTD.
    Inventor: CHIA-LING HSU
  • Patent number: 8883257
    Abstract: Disclosed herein is a method for producing a plastic container coated with a thin film that is excellent in gas barrier properties, film coloration and film adhesiveness without using an external electrode having a special shape while suppressing deposition of foreign matters such as carbon powders.
    Type: Grant
    Filed: June 25, 2009
    Date of Patent: November 11, 2014
    Assignee: Kirin Beer Kabushiki Kaisha
    Inventors: Masaki Nakaya, Mari Shimizu
  • Publication number: 20140329031
    Abstract: A method for applying a resin-repellant coating to an injection molding component made of metal forms a complex film of diamond-like carbon (DLC) and silicon carbide (SiC) on the metal. A vacuum chamber is evacuated of air and an electric field is created in the chamber. A first gas containing carbon and a second gas containing silicon interact with an ionized noble gas as a working gas in the chamber. A first film of SiC is deposited and bonded on the metal die, a second film of DLC from excess carbon atoms is then deposited and bonded on the first film to form the complex film.
    Type: Application
    Filed: August 30, 2013
    Publication date: November 6, 2014
    Applicant: HON HAI PRECISION INDUSTRY CO., LTD.
    Inventor: CHIA-LING HSU
  • Patent number: 8877299
    Abstract: A method of enhancing a material layer on a substrate is described. The method comprises establishing a gas cluster ion beam (GCIB), and treating a host region of the substrate by exposing the host region of the substrate to the GCIB. The treatment with the GCIB may selectively remove an undesirable specie and/or introduce a desirable specie to the host region.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: November 4, 2014
    Assignee: TEL Epion Inc.
    Inventors: John J. Hautala, Nathan E. Baxter, Koji Yamashita
  • Publication number: 20140315027
    Abstract: The invention relates to a process for the manufacture of a hydrophobic glazing comprising the following successive stages: (a) formation of a carbon-rich silicon oxycarbide (SiOxCy) layer at the surface of a substrate made of mineral glass by chemical vapor deposition (CVD) over at least a portion of the surface of said substrate by bringing said surface into contact with a stream of reactive gases comprising ethylene (C2H4), silane (SiH4) and carbon dioxide (CO2) at a temperature of between 600° C. and 680° C., the ethylene/silane (C2H4/SiH4) ratio by volume during stage (a) being less than or equal to 3.3, (b) formation of an SiO2 layer on the silicon oxycarbide layer deposited in stage (a) or (b?) formation of a carbon-poor silicon oxycarbide layer exhibiting a mean C/Si ratio of less than 0.2, (c) annealing and/or shaping the substrate obtained on conclusion of stage (b) or (b?) at a temperature of between 580° C. and 700° C.
    Type: Application
    Filed: November 14, 2012
    Publication date: October 23, 2014
    Applicant: SAINT-GOBAIN GLASS FRANCE
    Inventors: Claire Thoumazet, Martin Melcher, Arnaud Huignard, Raphael Lante
  • Patent number: 8865269
    Abstract: A method of forming a protective film of a magnetic recording medium is provided that achieves a good bonding characteristic with a lubricant film and at the same time, suppressing adhesion of contamination gases, to attain a reduced thickness of the magnetic recording medium. The method includes forming a protective film on a lamination including a substrate and a metallic film layer formed on the substrate, by means of a plasma CVD method using a raw material of a hydrocarbon gas, and performing a surface treatment on the protective film. The surface treatment includes a plasma treatment with argon gas, and a plasma treatment with a gas containing at least nitrogen gas.
    Type: Grant
    Filed: April 23, 2012
    Date of Patent: October 21, 2014
    Assignee: Fuji Electric Co., Ltd.
    Inventor: Naruhisa Nagata
  • Publication number: 20140302416
    Abstract: A metal separator for a fuel cell and a manufacturing method thereof are provided, in which a graphite carbon layer with a minute thickness is formed on the surface of a substrate, to improve conductivity. The manufacturing method includes preparing a metal substrate; loading the metal substrate into a chamber with a vacuum atmosphere; coating a graphite carbon layer by depositing carbon ions ionized from a coating source on a surface of the metal substrate; and unloading the metal substrate having the graphite carbon layer coated thereon to an exterior of the chamber.
    Type: Application
    Filed: October 1, 2013
    Publication date: October 9, 2014
    Applicants: J&L TECH CO., LTD., HYUNDAI MOTOR COMPANY
    Inventors: Chi-Seung Lee, Sae-Hoon Kim, Yoo-Chang Yang, Byung-Ki Ahn, Suk-Min Baeck, Young-Mo Goo, Myong-Hwan Kim, Jai-Mo Yoo, Ki-Ho Yeo, Seung-Eul Yoo, Young-Ha Jun
  • Publication number: 20140272194
    Abstract: Described herein are organoaminosilane precursors which can be used to deposit silicon containing films which contain silicon and methods for making these precursors. Also disclosed herein are deposition methods for making silicon-containing films or silicon containing films using the organoaminosilane precursors described herein. Also disclosed herein are the vessels that comprise the organoaminosilane precursors or a composition thereof that can be used, for example, to deliver the precursor to a reactor in order to deposit a silicon-containing film.
    Type: Application
    Filed: May 30, 2014
    Publication date: September 18, 2014
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Manchao Xiao, Xinjian Lei, Bing Han, Mark Leonard O'Neill, Ronald Martin Pearlstein, Richard Ho, Haripin Chandra, Agnes Derecskei-Kovacs
  • Publication number: 20140272108
    Abstract: A plasma processing apparatus including a vacuum chamber comprising a conduit, a process chamber, and a first gas input port for introducing gas into the vacuum chamber, and a pump port for evacuating gas from the vacuum chamber. A magnetic core surrounds the conduit. An output of an RF power supply is electrically connected to the magnetic core. The RF power supply energizes the magnetic core, thereby forming a toroidal plasma loop discharge in the vacuum chamber. A platen that supports a workpiece during plasma processing is positioned in the process chamber.
    Type: Application
    Filed: March 14, 2014
    Publication date: September 18, 2014
    Applicant: PLASMABILITY, LLC
    Inventors: William Holber, Robert J. Basnett
  • Patent number: 8821986
    Abstract: Provided are processes for the low temperature deposition of silicon-containing films using activated SiH-containing precursors. The SiH-containing precursors may have reactive functionality such as halogen or cyano moieties. Described are processes in which halogenated or cyanated silanes are used to deposit SiN films. Plasma processing conditions can be used to adjust the carbon, hydrogen and/or nitrogen content of the films.
    Type: Grant
    Filed: September 11, 2012
    Date of Patent: September 2, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Timothy W. Weidman, Todd Schroeder, David Thompson, Jeffrey W. Anthis
  • Patent number: 8821990
    Abstract: The present invention provides a DLC film that has good adhesiveness even in a low-temperature environment, and a DLC film-forming method capable of forming this DLC film. The present invention also provides a DLC film that has excellent initial compatibility, and a DLC film-forming method capable of forming this DLC film. In the present invention, a first opposing surface (31) that faces an inner clutch plate, of a substrate (30) of an outer clutch plate (15) is covered by a DLC film (26). Also, a treatment layer (33) is formed on a surface layer portion of the substrate (30). The treatment layer (33) is formed by applying direct-current pulse voltage to the substrate (30), and generating plasma in an atmosphere that contains argon gas and hydrogen gas.
    Type: Grant
    Filed: June 9, 2010
    Date of Patent: September 2, 2014
    Assignee: JTEKT Corporation
    Inventors: Masahiro Suzuki, Toshiyuki Saito, Kazuyoshi Yamakawa
  • Publication number: 20140242367
    Abstract: A barrier film for blocking moisture and oxygen transmission includes a single layer grown from a precursor of organic silicide by a chemical vapor deposition, having at least silicon (Si) atoms, oxygen (O) atoms and carbon (C) atoms with atomic ratios of C/Si in a range of about 0.1-0.5, and O/Si in a range of about 2.0-2.5. The Si and O atoms form four bonding structures: Si(—O)4, Si(—O)3, Si(—O)2, and Si(—O)1, in the single layer. In the total amount of the four bonding structures being 100%, the bonding structures of Si(—O)4, Si(—O)3, Si(—O)2, and Si(—O)1 are in ranges of about 50%-99.9%, 0.01%-50%, 0%-10%, and 0%-10%, respectively.
    Type: Application
    Filed: February 25, 2013
    Publication date: August 28, 2014
    Applicant: AU OPTRONICS CORPORATION
    Inventors: Ching-Hsiang Chang, Yen-Cheng Kung, Po-Ching Hsu, Chung-Chih Wu, Shiuan-Iou Lin, Tai-Hsiang Huang, Jen-Kuei Lu, Norio Sugiura
  • Patent number: 8808812
    Abstract: Disclosed is a method capable of accelerating the growth of oriented carbon nanotubes when manufacturing the oriented carbon nanotubes by a plasma CVD. Under the circulation of a gas which is the raw material of the carbon nanotubes, plasma is generated by an antenna (6) provided in a depressurized treatment chamber (2), and substrates (9, 15) provided with a reaction prevention layer and a catalyst material layer which are formed on a base material are held at a distance, to which a radical can reach and an attack of an ion generated as a by-product of the radical can be avoided, from a plasma generation area (7). The tip (6a) of the antenna (6) can be controlled so as to match with the position of the anti-node of a stationary wave (27) of microwaves.
    Type: Grant
    Filed: August 30, 2010
    Date of Patent: August 19, 2014
    Assignees: Honda Motor Co., Ltd., Waseda University
    Inventors: Hiroshi Kawarada, Ryogo Kato, Toshiyuki Ohashi, Toshio Tokune, Hidefumi Nikawa
  • Publication number: 20140220361
    Abstract: The present invention provides novel plasma sources useful in the thin film coating arts and methods of using the same. More specifically, the present invention provides novel linear and two dimensional plasma sources that produce linear and two dimensional plasmas, respectively, that are useful for plasma-enhanced chemical vapor deposition. The present invention also provides methods of making thin film coatings and methods of increasing the coating efficiencies of such methods.
    Type: Application
    Filed: January 6, 2014
    Publication date: August 7, 2014
    Applicants: AGC Flat Glass North America, Inc., AGC Glass Europe, Asahi Glass Co., Ltd.
    Inventor: Peter MASCHWITZ
  • Patent number: 8771806
    Abstract: The present invention relates to a method of coating fluorocarbon or hydrocarbon on the surface of a workpiece using atmospheric pressure plasma. More particularly, the present invention relates to a method of coating hydrocarbon or fluorocarbon on the surface of a workpiece using plasma generated under atmospheric pressure such that the workpiece can have a hydrophobic or super-hydrophobic surface.
    Type: Grant
    Filed: July 7, 2006
    Date of Patent: July 8, 2014
    Inventor: Bang-Kwon Kang
  • Publication number: 20140186583
    Abstract: The present invention provides an advantageous method for producing a coating (3) increasing the coefficient of friction on a surface (5) of an element (6), wherein the method comprises the following steps: a) activating of hard particles (1) partially or completely covered by a bonding agent (2) in a non-thermal plasma (low-temperature plasma) at atmospheric pressure; and b) producing a layer (3) increasing the coefficient of friction on a surface (5) of the element (6) by depositing the hard particles (1), which are activated by the non-thermal atmospheric pressure plasma and which are coated with the bonding agent onto the surface (5) of the element (6). Specifically, for elements having a complicated shape or having a big size, this method is more efficient than known methods. No matrix or intermediate layers are necessary to fix the hard particles. The anchoring of the hard particles takes place directly in the joining surfaces themselves.
    Type: Application
    Filed: August 2, 2012
    Publication date: July 3, 2014
    Applicant: IP plasma & brands GmbH
    Inventor: Willy Speth
  • Publication number: 20140186550
    Abstract: Disclosed herein is a scaled method for producing substantially aligned carbon nanotubes by depositing onto a continuously moving substrate, (1) a catalyst to initiate and maintain the growth of carbon nanotubes, and (2) a carbon-bearing precursor. Products made from the disclosed method, such as monolayers of substantially aligned carbon nanotubes, and methods of using them are also disclosed.
    Type: Application
    Filed: March 13, 2014
    Publication date: July 3, 2014
    Inventors: Christopher H. Cooper, Hai-Feng Zhang, Richard Czerw
  • Publication number: 20140178637
    Abstract: Provided are low friction coatings with improved abrasion, wear resistance and methods of making such coatings. In one form, the coating includes: i) an under layer selected from the group consisting of CrN, TiN, TiAlN, TiAlVN, TiAlVCN, TiSiN, TiSiCN, TiAlSiN and combinations thereof, wherein the under layer ranges in thickness from 0.1 to 100 ?m, ii) an adhesion promoting layer selected from the group consisting of Cr, Ti, Si, W, CrC, TiC, SiC, WC, and combinations thereof, wherein the adhesion promoting layer ranges in thickness from 0.1 to 50 ?m and is contiguous with a surface of the under layer, and iii) a functional layer selected from the group consisting of a fullerene based composite, a diamond based material, diamond-like-carbon and combinations thereof, wherein the functional layer ranges from 0.1 to 50 ?m and is contiguous with a surface of the adhesion promoting layer.
    Type: Application
    Filed: December 21, 2012
    Publication date: June 26, 2014
    Applicant: EXXONMOBIL RESEARCH AND ENGINEERING COMPANY
    Inventors: Srinivasan Rajagopalan, Tabassumul Haque, Mehmet Deniz Ertas, Adnan Ozekcin, HyunWoo Jin, Bo Zhao
  • Publication number: 20140170490
    Abstract: Provided is a negative electrode having a new structure for realizing a lithium secondary battery having increased charging/discharging capacities and a battery capacity that is reduced less due to repeated charging/discharging. The negative electrode for a lithium secondary battery includes a current collector substrate; a carbon nanochips layer including graphene sheets grown to incline in irregular directions independently from the current collector substrate; and a silicon thin film layer on the carbon nanochips layer, in which gaps among the carbon nanochips are formed between the silicon thin film layer and the current collector substrate. The Raman spectrum of graphite forming the carbon nanochips layer has a g/d ratio of 0.30 to 0.80, both inclusive, and the crystallinity level of the graphite is lower than that of graphite forming carbon nanowalls. The carbon nanochips layer can be formed by a plasma CVD method using a gaseous mixture of methane and hydrogen, for example.
    Type: Application
    Filed: June 12, 2013
    Publication date: June 19, 2014
    Applicants: CITY OF NAGOYA, SANGO CO., LTD.
    Inventors: Kouichi Izuhara, Makoto Daifuku, Yasushi Miyata
  • Publication number: 20140162037
    Abstract: A coating and associated method for coating is disclosed. The coating provides a hard, transparent, UV blocking coating for a substrate. A UV blocking layer is first deposited upon the substrate, and a hard coating is deposited above the UV blocking layer. A soft coating layer may be deposited between the UV blocking layer and the hard coating. The soft and hard coating layers may both have the general composition SiOxCy. the soft and hard coating layers may be deposited by a plasma vapor deposition process.
    Type: Application
    Filed: February 12, 2014
    Publication date: June 12, 2014
    Applicant: The Boeing Company
    Inventors: David A. Bowen, Kjersta L. Larson-Smith, Vasan S. Sundaram
  • Patent number: 8747962
    Abstract: The invention relates to a method for the plasma treatment of workpieces, particularly workpieces in the form of hollow bodies, in which a treatment zone in a reactor chamber is at least partially evacuated, a process gas is introduced into the treatment zone, particularly into the cavity of the workpiece, and a plasma is ignited by means of injected electromagnetic energy in the process gas introduced into the treatment zone, wherein the process gas flows through the treatment zone between opposite ends of the zone during the plasma treatment.
    Type: Grant
    Filed: August 24, 2006
    Date of Patent: June 10, 2014
    Assignee: Schott AG
    Inventors: Matthias Bicker, Robert Hormes, Manfred Lohmeyer
  • Patent number: 8746174
    Abstract: A discharge surface treatment apparatus supplies an electrode material to a surface of a treatment target member by generating pulsating discharges across an inter-electrode gap to form a coating of the electrode material, and includes a switching element that turns application of a voltage from a power source to the inter-electrode gap on/off, a capacitance element that is connected to the switching element in parallel with the inter-electrode gap, an inductance element that is connected in series between both of the switching element and the capacitance element and the inter-electrode gap, and a control unit that includes a function of periodically performing on/off so that an induced electromotive force generated in the inductance element due to a change in the current of discharge generated across the inter-electrode gap can be used as a voltage that induces the next discharge.
    Type: Grant
    Filed: June 26, 2012
    Date of Patent: June 10, 2014
    Assignee: Mitsubishi Electric Corporation
    Inventors: Yoshikazu Nakano, Akihiro Goto
  • Publication number: 20140141251
    Abstract: Embodiments presented herein relate generally to the formation of diamond-like carbon, forms of diamond-like carbon and/or carbon dioxide fixation.
    Type: Application
    Filed: November 29, 2011
    Publication date: May 22, 2014
    Inventors: Yun-hai Wang, Qing-yun Chen, Xiang-lin Li, Jing-lian Zhao
  • Publication number: 20140127472
    Abstract: A carbon nanowall array (10) is provided with a substrate (1) and carbon nanowalls (2-9). The substrate (1) is composed of silicon, and includes protruding portions (11) and recessed portions (12). The protruding portions (11) and recessed portions (12) are formed in the direction (DR1) on one surface of the substrate (1). The protruding portions (11) and recessed portions (12) are alternately formed in the direction (DR2) perpendicular to the direction (DR1). Each of the protruding portions (11) has a length of 0.1-0.5 ?m in the direction (DR2), and each of the recessed portions (12) has a length of 0.6-1.5 ?m in the direction (DR2). The height of each of the protruding portions (11) is 0.3-0.6 ?m. Respective carbon nanowalls (2-9) are formed in the length direction (i.e., the direction (DR1)) of the protruding portions (11) of the substrate (1), said carbon nanowalls being formed on the protruding portions (11).
    Type: Application
    Filed: June 26, 2012
    Publication date: May 8, 2014
    Applicants: CHUBU UNIVERSITY EDUCATIONAL FOUNDATION, NISSIN ELECTRIC CO., LTD., OSAKA UNIVERSITY, NATIONAL UNIVERSITY CORPORATION HOKKAIDO UNIVERSITY
    Inventors: Toshio Kawahara, Kazumasa Okamoto, Kazuhiko Matsumoto, Risa Utsunomiya, Teruaki Matsuba, Hitoshi Matsumoto
  • Patent number: 8715779
    Abstract: Apparatus, systems and methods for characteristics of glass components through use of one or more coatings are disclosed. The coatings are typically thin coatings, such as thin film coatings. The coatings can serve to increase strength of the glass components and/or provide durable user interfacing surfaces. Accordingly, glass articles that have received coatings are able to be not only thin but also sufficiently strong so as to resist damage from impact events. The coated glass articles are well suited for use in consumer products, such as consumer electronic devices (e.g., electronic devices).
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: May 6, 2014
    Assignee: Apple Inc.
    Inventors: Anna-Katrina Shedletsky, Christopher Prest
  • Patent number: 8715790
    Abstract: A method of forming carbon nanotubes by plasma enhanced chemical vapor deposition using a carbon containing gas plasma, wherein the carbon nanotubes are not formed on a substrate at a temperature 300° C. or above.
    Type: Grant
    Filed: July 26, 2002
    Date of Patent: May 6, 2014
    Assignee: University of Surrey
    Inventors: Sembukutiarachilage Ravi Silva, Sajad Haq, Bojan O. Boskovic
  • Patent number: 8703250
    Abstract: A method of manufacturing a diamond layer having a porous three-dimensional structure, the method being of the type which includes growing the diamond layer from a sacrificial material and gradually decomposing said sacrificial material during growth of the diamond layer, said material including the following steps; 1) provision of a substrate capable of supporting the plasma-enhanced chemical vapor deposition growth of the diamond layer on at least one of the surfaces of the substrate, the substrate comprising, on said at least one surface thereof, a layer made of a sacrificial material having a porous three-dimensional structure capable of gradually decomposing upon contact with said plasma, the layer of sacrificial material containing diamond grains of nanometric size, and 2) growth by plasma-enhanced chemical vapor deposition of the diamond layer from diamond grains and concomitant and gradual decomposition of the sacrificial material upon contact with said plasma.
    Type: Grant
    Filed: April 5, 2011
    Date of Patent: April 22, 2014
    Assignee: Commissariat a l'Energie Atomique et aux Energies Alternatives
    Inventors: Emmanuel Scorsone, Hugues Girard
  • Patent number: 8691348
    Abstract: A thin-film manufacturing method includes the steps of: generating a plasma from source gas; extracting ions from the plasma; and depositing a thin film on one side or both sides of a substrate to be deposited with the ions. The method is performed in an apparatus including: a plasma chamber generating the plasma; a film deposition chamber accommodating the substrate to be deposited; an ion transfer path for transferring the ions from the plasma chamber to the film deposition chamber; a branch pipe branching from the ion transfer path; and an exhaust system connected to the branch pipe. The thin film is formed while the source gas except the ions is exhausted from the branch pipe.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: April 8, 2014
    Assignees: Fuji Electric Co., Ltd., Kyushu University, National University Corporation
    Inventors: Tomonori Katano, Katsumi Taniguchi, Kungen Teii
  • Patent number: 8679592
    Abstract: A method for continuously processing carbon fiber including establishing a microwave plasma in a selected atmosphere contained in an elongated chamber having a microwave power gradient along its length defined by a lower microwave power at one end and a higher microwave power at the opposite end of the elongated chamber. The elongated chamber having an opening in each of the ends of the chamber that are adapted to allow the passage of the fiber tow while limiting incidental gas flow into or out of said chamber. A continuous fiber tow is introduced into the end of the chamber having the lower microwave power. The fiber tow is withdrawn from the opposite end of the chamber having the higher microwave power. The fiber to is subjected to progressively higher microwave energy as the fiber is being traversed through the elongated chamber.
    Type: Grant
    Filed: October 4, 2010
    Date of Patent: March 25, 2014
    Assignee: UT-Battelle, LLC
    Inventors: Terry L. White, Felix L. Paulauskas, Timothy S. Bigelow
  • Patent number: 8663752
    Abstract: A method of manufacturing carbon coated aluminum foil as a cathode of solid aluminum electrolytic capacitors Comprising the steps of: preparing an aluminum foil by setting the aluminum foil into a chamber; roughening at least one surface of the aluminum foil by introducing gas into the chamber and activating an electric field so that the gas is ionized and turned into a plasma; and depositing carbon atoms by introducing gas mixed with carbon atoms and turning on the electric field again so as to make the carbon atoms have positive charge thereby impacting into and attaching firmly to the rough surface of the aluminum foil to form a carbon film.
    Type: Grant
    Filed: March 14, 2011
    Date of Patent: March 4, 2014
    Inventor: Hung-Wen Tsai
  • Publication number: 20140030447
    Abstract: Depositing a layer of graphene or conjugate carbons on a surface of a substrate using carbon radicals generated by exposing a carbon material to radicals of a gas. The radicals of the gas are generated by injecting the gas into a plasma chamber and then applying voltage difference to electrodes within or surrounding the plasma chamber. The radicals of the gas come into contact with the carbon material (e.g., graphite) and excite carbon radicals. The excited carbon radicals are injected onto the surface of the substrate, passes through a constriction zone of the reactor assembly and are then exhausted through a discharge portion of the reactor assembly. When the excited carbon radicals come into contact with the substrate, the carbon radicals form a layer of graphene or conjugated carbons on the substrate.
    Type: Application
    Filed: January 15, 2013
    Publication date: January 30, 2014
    Applicant: Synos Technology, Inc.
    Inventors: Sang In Lee, Chang Wan Hwang
  • Patent number: 8629076
    Abstract: A metal oxide-carbon composite includes a carbon aerogel with an oxide overcoat. The metal oxide-carbon composite is made by providing a carbon aerogel, immersing the carbon aerogel in a metal oxide sol under a vacuum, raising the carbon aerogel with the metal oxide sol to atmospheric pressure, curing the carbon aerogel with the metal oxide sol at room temperature, and drying the carbon aerogel with the metal oxide sol to produce the metal oxide-carbon composite. The step of providing a carbon aerogel can provide an activated carbon aerogel or provide a carbon aerogel with carbon nanotubes that make the carbon aerogel mechanically robust. Carbon aerogels can be coated with sol-gel silica and the silica can be converted to silicon carbide, improving the thermal stability of the carbon aerogel.
    Type: Grant
    Filed: March 18, 2011
    Date of Patent: January 14, 2014
    Assignee: Lawrence Livermore National Security, LLC
    Inventors: Marcus A. Worsley, Joshua D. Kuntz, Theodore F. Baumann, Joe H. Satcher, Jr.
  • Patent number: 8618183
    Abstract: A method of forming a porous composite material in which substantially all of the pores within the composite material are small having a diameter of about 5 nm or less and with a narrow PSD is provided. The porous composite material includes a first solid phase having a first characteristic dimension and a second phase comprised of pores having a second characteristic dimension, wherein the characteristic dimensions of at least one of said phases is controlled to a value of about 5 nm or less.
    Type: Grant
    Filed: September 1, 2012
    Date of Patent: December 31, 2013
    Assignee: International Business Machines Corporation
    Inventors: Stephen M. Gates, Alfred Grill, Deborah A. Neumayer, Son Nguyen, Vishnubhai V. Patel
  • Patent number: 8614012
    Abstract: A coated article is provided. The coated article includes a substrate, a hydrophobic layer formed on the substrate. The hydrophobic layer is an amorphous carbon nitride layer which is defined as CNy, wherein y is in a range of from about 1 to about 3. The water contact angle of the hydrophobic layer 13 is about 100° to about 110°. The hydrophobic layer has a good chemical stability, high-temperature resistance and a good abrasion resistance, which effectively extends the use time of the coated article. A method for making the coated article is also described therein.
    Type: Grant
    Filed: June 22, 2011
    Date of Patent: December 24, 2013
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd, Hon Hai Precision Industry Co., Ltd.
    Inventors: Hsin-Pei Chang, Wen-Rong Chen, Huann-Wu Chiang, Cheng-Shi Chen, Cong Li
  • Patent number: 8563414
    Abstract: Conductive carbon films having a resistivity of less than about 0.2 Ohm-cm, preferably less than about 0.05 Ohm-cm, are deposited by PECVD. Conductive carbon films are essentially free of sp3-hybridized carbon and contain predominantly sp2 carbon, based on IR spectral features. Carbon content of the films is at least about 75% atomic C. Conductive carbon films may contain hydrogen, but are typically hydrogen-poor, containing less than about 20% H. In some embodiments, conductive carbon films further contain nitrogen (N). For example, conductive films having a CxHyNz composition, where nitrogen is present at between about 5-10% atomic, have both high conductivity and low roughness, because introduction of nitrogen delays formation of crystallites in the film. The films are deposited at a process temperature of at least about 620° C., and at a pressure of less than about 20 Torr in a dual-frequency plasma process dominated by low frequency (LF) plasma.
    Type: Grant
    Filed: April 23, 2010
    Date of Patent: October 22, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Keith Fox, Dennis Hausmann
  • Publication number: 20130273395
    Abstract: 2-dimensional nanostructured tungsten carbide which is obtained by control of the alignment of nanostructure during growth of tungsten carbide through control of the degree of supersaturation and a method for fabricating same are disclosed. The method for fabricating 2-dimensional nanostructured tungsten carbide employs a chemical vapor deposition process wherein a hydrogen plasma is applied to prepare 2-dimensional nanostructured tungsten carbide vertically aligned on a nanocrystalline diamond film. The chemical vapor deposition process wherein the hydrogen plasma is applied includes: disposing a substrate with the nanocrystalline diamond film formed thereon on an anode in a chamber, disposing a surface-carburized tungsten cathode above and at a distance from the substrate, and applying the hydrogen plasma into the chamber.
    Type: Application
    Filed: January 21, 2013
    Publication date: October 17, 2013
    Applicant: KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY
    Inventor: KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY
  • Publication number: 20130266739
    Abstract: The present invention discloses a process for forming a carbon film or an inorganic material film on a substrate by physical vapor deposition (PVD). Through the process, a high-quality, wafer scale thin film, such as a graphene film, is directly formed on a substrate without using an additional transfer step.
    Type: Application
    Filed: August 22, 2012
    Publication date: October 10, 2013
    Applicant: ACADEMIA SINICA
    Inventors: Shih-Yen LIN, Meng-Yu Lin, Shu-Han Chen
  • Publication number: 20130266742
    Abstract: The present disclosure relates to a chemical vapor deposition apparatus for synthesizing a diamond film and a method for synthesizing a diamond film using the same, which maintains the substrate temperature at an optimum level by suppressing the rise of a substrate temperature, and, thus, improves the degree of activation of a diamond synthesizing gas to increase a diamond growth rate when synthesizing a diamond film. The chemical vapor deposition apparatus for synthesizing a diamond film according to the present disclosure includes a chamber in which a chemical vapor deposition process is performed, a substrate provided in the chamber and giving a place where diamond is grown, and a heat-shielding structure spaced above from the substrate, wherein the heat-shielding structure includes an opening through which a precursor gas is transferable.
    Type: Application
    Filed: December 27, 2012
    Publication date: October 10, 2013
    Applicant: KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY
    Inventor: KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY
  • Publication number: 20130260058
    Abstract: A method for forming an electronic device having a multilayer structure, comprising: embossing a surface of a substrate so as to depress first and second regions of the substrate relative to at least a third region of the substrate; depositing conductive or semiconductive material from solution onto the first and second regions of the substrate so as to form a first electrode on the first region and a second electrode on the second region, wherein the electrodes are electrically insulated from each other by the third region.
    Type: Application
    Filed: February 11, 2013
    Publication date: October 3, 2013
    Applicant: Plastic Logic Limited
    Inventors: Thomas Meredith Brown, Henning Sirringhaus, Devin John Mackenzie
  • Publication number: 20130244059
    Abstract: A substrate having a carbon-deuterium protective overcoat layer, and method for making the same. In some embodiments, the substrate includes a recording structure having a magnetic recording layer. A protective overcoat layer is Formed on the recording structure, the protective overcoat layer composed of carbon-carbon (C—C) and carbon-deuterium (C—D) bonds and having no carbon-hydrogen (C—H) bonds.
    Type: Application
    Filed: March 16, 2012
    Publication date: September 19, 2013
    Applicant: SEAGATE TECHNOLOGY LLC
    Inventors: Paul Max Jones, Christopher Loren Platt, Emil John Catoc Esmenda, Lang Nhu Dinh, Junwei Huang, James Dillon Kiely