Polyester Patents (Class 430/285.1)
  • Publication number: 20120262793
    Abstract: A black curable composition for a wafer-level lens including (A) a metal-containing inorganic pigment, (B) a polymerization initiator, (C) a polymerizable compound, and (D) a cardo resin.
    Type: Application
    Filed: January 20, 2011
    Publication date: October 18, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Masaru Yoshikawa, Yushi Kaneko, Yoshiharu Yabuki
  • Publication number: 20120264059
    Abstract: A resist composition includes (A) a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid, (B) an acid generator having a structure to be cleaved by the action of an alkaline developer, and (C) a compound represented by the formula (I), wherein R1 and R2 in each occurrence independently represent a C1 to C12 hydrocarbon group, a C1 to C6 alkoxyl group, a C2 to C7 acyl group, a C2 to C7 acyloxy group, a C2 to C7 alkoxycarbonyl group, a nitro group or a halogen atom; m and n independently represent an integer of 0 to 4.
    Type: Application
    Filed: April 6, 2012
    Publication date: October 18, 2012
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Koji ICHIKAWA, Takahiro YASUE, Tatsuro MASUYAMA
  • Publication number: 20120264058
    Abstract: A resist composition for negative development including a base component (A) which exhibits decreased solubility in an organic solvent under the action of acid and an acid generator component (B) which generates acid upon exposure; and the resist composition used in a method of forming a resist pattern which includes: forming a resist film on a substrate using the resist composition; conducting exposure of the resist film; and patterning the resist film by negative development using a developing solution containing the organic solvent to form a resist pattern, wherein the acid generator component (B) contains an acid generator (B1) that generates an acid having a log P value of 2.7 or less and also a pKa value of at least ?3.5.
    Type: Application
    Filed: April 5, 2012
    Publication date: October 18, 2012
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Yoshiyuki Utsumi, Hiroaki Shimizu
  • Publication number: 20120264055
    Abstract: The present invention provides a photoresist composition comprising a salt represented by the formula (I): wherein R1 and R2 independently each represent a fluorine atom or a C1-C6 perfluoroalkyl group, X1 represents a C1-C17 divalent saturated hydrocarbon group, etc., s1 represents 1 or 2, and t1 represents 0 or 1, with proviso that sum of s1 and t1 is 1 or 2, R3 represents a C1-C12 saturated hydrocarbon group, etc., u1 represents an integer of 0 to 8, and (z1)+ represents an organic cation, a salt represented by the formula (II-0): wherein R4 represents a C1-C24 hydrocarbon group etc., X2 represents a C1-C6 alkanediyl group etc., and (Z2)+ represents an organic cation, and a resin being insoluble or poorly soluble in an aqueous alkali solution but becoming soluble in an aqueous alkali solution by the action of an acid.
    Type: Application
    Filed: April 10, 2012
    Publication date: October 18, 2012
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Koji ICHIKAWA, Hiromu SAKAMOTO, Yuichi MUKAI
  • Patent number: 8288080
    Abstract: The invention relates to photopolymerizable flexographic printing elements which contain ethylenically unsaturated, alicyclic monomers and hard flexographic printing plates, in particular cylindrical continuous seamless flexographic printing plates, which can be produced therefrom.
    Type: Grant
    Filed: February 8, 2008
    Date of Patent: October 16, 2012
    Assignee: Flint Group Germany GmbH
    Inventors: Armin Becker, Uwe Stebani, Berthold Geisen, Uwe Krauss, Thomas Telser
  • Publication number: 20120258405
    Abstract: A resist composition includes; (A) a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid, (B) an acid generator having an acid-labile group; and (D) a compound represented by the formula (I), wherein R1 and R2 in each occurrence independently represent a C1 to C12 hydrocarbon group, a C1 to C6 alkoxyl group, a C2 to C7 acyl group, a C2 to C7 acyloxy group, a C2 to C7 alkoxycarbonyl group, a nitro group or a halogen atom; m and n independently represent an integer of 0 to 4.
    Type: Application
    Filed: April 6, 2012
    Publication date: October 11, 2012
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Koji ICHIKAWA, Takahiro YASUE, Satoshi YAMAGUCHI
  • Publication number: 20120251952
    Abstract: A resist resin composition includes 100 parts by weight of a copolymer represented by Formula 3 below; 0.5 to 1.5 parts by weight of a photoacid generator and 700 to 1,500 parts by weight of a solvent: wherein R1, R2, and R3 are each independently a C1-30 alkyl group or a C3-30 cycloalkyl group that has or does not have hydrogen, an ether group, an ester group, a carbonyl group, an acetal group, an epoxy group, a nitrile group, or an aldehyde group, R4, R5, and R6 are each independently hydrogen or a methyl group, and l, m, n, and o each independently refer to the number of repeating units in a main backbone and satisfy the conditions: l+m+n+o=1, 0?l/(l+m+n+o)<0.4, 0<m/(l+m+n+o)<0.6, 0?n/(l+m+n+o)<0.6, and 0<o/(l+m+n+o)<0.4.
    Type: Application
    Filed: March 28, 2012
    Publication date: October 4, 2012
    Applicant: KOREA KUMHO PETROCHEMICAL CO., LTD.
    Inventors: Jin Bong SHIN, Jin Ho KIM, Dae Hyeon SHIN, Seung Jae LEE
  • Publication number: 20120251951
    Abstract: A resist composition including: a base component (A) that exhibits changed solubility in a developing solution by action of acid; and an acid generator component (B) that generates acid upon exposure, wherein the base component (A) includes a resin component (A1) containing a structural unit (a0) represented by general formula (a0-1) shown below or general formula (a0-2) shown below; and the acid generator component (B) includes an acid generator (B1) including a compound represented by general formula (b0-1) or (b0-2) shown below:
    Type: Application
    Filed: March 19, 2012
    Publication date: October 4, 2012
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Jun Iwashita, Kensuke Matsuzawa
  • Publication number: 20120251953
    Abstract: Certain methanofullerene derivatives are described, having side chains with acid-labile protecting groups. The methanofullerene derivatives may find application as photoresist materials, and particularly as positive-tone photoresists.
    Type: Application
    Filed: November 18, 2010
    Publication date: October 4, 2012
    Inventors: Alex Robinson, Richard Palmer, Jon Andrew Preece
  • Publication number: 20120244472
    Abstract: Provided is an actinic ray-sensitive or radiation-sensitive resin composition comprising (A) a specific compound represented by a general formula, (B) a resin which is alkali-insoluble or sparingly alkali-soluble and becomes easily alkali-soluble in the presence of an acid, and (C) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; a film formed using the composition; and a pattern forming method using the same.
    Type: Application
    Filed: November 30, 2010
    Publication date: September 27, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Tomotaka Tsuchimura, Takayuki Ito, Toru Fujimori, Kana Fujii
  • Publication number: 20120242940
    Abstract: Provided is a radiation-sensitive colored composition that enables formation of color cured films in which color concentration unevenness is inhibited and which have uniform color and exhibit a superior development property and excellent pattern formability in the formation of color patterns. The radiation-sensitive colored composition contains (A) a dye polymer containing a structural unit having a dye structure polymerized using a chain-transfer agent having a LogP value of 5 or less, and (B) a solvent.
    Type: Application
    Filed: March 23, 2012
    Publication date: September 27, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Yuzo NAGATA, Atsuyasu NOZAKI, Kenta USHIJIMA, Yushi KANEKO, Yousuke MURAKAMI
  • Publication number: 20120237875
    Abstract: A radiation-sensitive resin composition includes a first polymer including an acid-labile group, an acid generator to generate an acid upon exposure to radiation, and a second polymer including a fluorine atom and a functional group shown by a general formula (x). The second polymer has a fluorine atom content higher than a fluorine atom content of the first polymer. R1 represents an alkali-labile group. A represents an oxygen atom, —NR?—, —CO—O—# or —SO2—O—##, wherein the oxygen atom represented by A is not an oxygen atom bonded directly to an aromatic ring, a carbonyl group, or a sulfoxyl group, R? represents a hydrogen atom or an alkali-labile group, and “#” and “##” indicates a bonding hand bonded to R1.
    Type: Application
    Filed: March 15, 2012
    Publication date: September 20, 2012
    Applicant: JSR Corporation
    Inventors: Yuusuke ASANO, Mitsuo Satou, Hiromitsu Nakashima, Kazuki Kasahara, Yoshifumi Oizumi, Masafumi Hori, Takanori Kawakami, Yasuhiko Matsuda, Kazuo Nakahara
  • Publication number: 20120237876
    Abstract: A radiation-sensitive resin composition includes a solvent and a polymer. The polymer includes a repeating unit represented by a formula (I), a repeating unit represented by a formula (II), or a both thereof. Each of R1 to R3 independently represents a hydroxyl group, or the like. At least one of R1 represents a group having two or more heteroatoms. l is an integer from 1 to 5. Each of m and n is independently an integer from 0 to 5. Each of R7 and R11 independently represents a hydrogen atom, or the like. Each of R8 to R10 independently represents a hydrogen atom, or the like. A represents —O—, or the like. D represents a substituted or unsubstituted methylene group, or the like.
    Type: Application
    Filed: May 24, 2012
    Publication date: September 20, 2012
    Applicant: JSR Corporation
    Inventor: Ken MARUYAMA
  • Patent number: 8263313
    Abstract: The invention provides a photosensitive resin composition that can form resists with excellent adhesiveness for conductive layers and that does not easily produce conductive layer discoloration, as well as a photosensitive film employing the composition. A preferred photosensitive film (1) according to the invention comprises a support (11), resin layer (12) and protective film (13), where the resin layer (12) is composed of a photosensitive resin composition comprising a binder polymer, a photopolymerizing compound, a photopolymerization initiator and a benzotriazole derivative represented by the following general formula (1).
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: September 11, 2012
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Katsutoshi Itagaki, Naoki Sasahara, Takuji Abe, Yoshiki Ajioka
  • Patent number: 8263675
    Abstract: The present invention relates to a photosensitive resin composition for a color filter and a color filter fabricated using the same. The photosensitive resin composition includes (a) an acrylic-based resin, (b) a photopolymerizable monomer, (c) a photopolymerization initiator, (d) a pigment, and (e) a solvent. The acrylic-based resin is a copolymer including a repeating unit of an ethylenic unsaturated monomer including a carboxyl group and a repeating unit of an ethylenic unsaturated monomer including an alkoxy 4-oxo butanoic acid group. The photosensitive resin composition for a color filter can have residue removing characteristics, and is capable of forming fine pixels and providing a color filter having high resolution.
    Type: Grant
    Filed: March 4, 2010
    Date of Patent: September 11, 2012
    Assignee: Cheil Industries Inc.
    Inventors: Kil-Sung Lee, Jae-Hyun Kim, Chang-Min Lee, Eui-June Jeong
  • Publication number: 20120225386
    Abstract: A chemically amplified resist composition is provided comprising (A) a specific tertiary amine compound, (B) a specific acid generator, (C) a base resin having an acidic functional group protected with an acid labile group, which is substantially insoluble in alkaline developer and turns soluble in alkaline developer upon deprotection of the acid labile group, and (D) an organic solvent. The resist composition has a high resolution, improved defect control in the immersion lithography, and good shelf stability.
    Type: Application
    Filed: February 28, 2012
    Publication date: September 6, 2012
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Takeru Watanabe, Tomohiro Kobayashi, Masayoshi Sagehashi, Takeshi Nagata, Youichi Ohsawa, Ryosuke Taniguchi
  • Publication number: 20120225385
    Abstract: The present invention provides a salt represented by the formula (I): wherein Q1 and Q2 independently each represent a fluorine atom or a C1-C6 perfluoroalkyl group, L1 represents a C1-C17 divalent saturated hydrocarbon group in which one or more —CH2— can be replaced by —O— or —CO—, L2 represents a single bond or a C1-C6 alkanediyl group in which one or more —CH2— can be replaced by —O— or —CO—, Y represents a C3-C18 alicyclic hydrocarbon group which can have one or more substituents, and one or more —CH2— in the alicyclic hydrocarbon group can be replaced by —O—, —CO— or —SO2—, and Z+ represents an organic counter ion.
    Type: Application
    Filed: February 28, 2012
    Publication date: September 6, 2012
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Koji ICHIKAWA, Hiromu SAKAMOTO, Takahiro YASUE
  • Publication number: 20120219887
    Abstract: A polymer comprising 0.5-10 mol % of recurring units having acid generating capability and 50-99.5 mol % of recurring units providing for dissolution in alkaline developer is used to formulate a chemically amplified negative resist composition. When used in a lithography process, the composition ensures an effective sensitivity, makes more uniform the distribution and diffusion of the acid generating component in a resist film, and suppresses deactivation of acid at the substrate interface. The pattern can be formed to a profile which is improved in LER and undercut.
    Type: Application
    Filed: February 28, 2012
    Publication date: August 30, 2012
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Keiichi Masunaga, Daisuke Domon, Satoshi Watanabe
  • Publication number: 20120219913
    Abstract: Provided is a pattern forming method that is excellent in roughness performance such as line width roughness and exposure latitude, and an actinic-ray-sensitive or radiation-sensitive resin composition and a resist film used for the pattern forming method. The pattern forming method includes (1) forming a film using an actinic-ray-sensitive or radiation-sensitive resin composition containing a resin that includes 65 mol % or more of a repeating unit having a group which generates a polar group by being degraded by the action of an acid based on all repeating units in the resin and at least one kind of repeating unit represented by the following General Formula (I) or (II), (2) exposing the film, and (3) developing the exposed film using a developer that contains an organic solvent.
    Type: Application
    Filed: February 27, 2012
    Publication date: August 30, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Shohei KATAOKA, Hidenori TAKAHASHI, Shuhei YAMAGUCHI, Shoichi SAITOH, Michihiro SHIRAKAWA, Fumihiro YOSHINO
  • Publication number: 20120219912
    Abstract: A resist composition includes (A1) a resin having a structural unit represented by the formula (I), (A2) a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and (B) an acid generator having an acid-labile group. wherein R1 represents a hydrogen atom or a methyl group; A1 represents a C1 to C6 alkanediyl group; R2 represents a C1 to C10 hydrocarbon group having a fluorine atom.
    Type: Application
    Filed: February 24, 2012
    Publication date: August 30, 2012
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Koji ICHIKAWA, Satoshi YAMAGUCHI, Yuki SUZUKI
  • Publication number: 20120219758
    Abstract: Provided is a resist composition including a resin (A) containing any of repeating units (a) of general formulae (RI-a) and (RI-b) below, any of repeating units (b) of general formula (R2) below, any of repeating units (c) of general formula (R3) below and a repeating unit (d) being different from the repeating units (c) and containing a group that when acted on by an acid, is decomposed, a compound (B) that when exposed to actinic rays or radiation, is decomposed to thereby generate an acid, and any of compounds (C) of general formula (PDA-1) below.
    Type: Application
    Filed: February 27, 2012
    Publication date: August 30, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Tadahiro ODANI, Hidenori TAKAHASHI, Kana FUJII
  • Publication number: 20120220112
    Abstract: A positive resist composition based on a polymer comprising recurring units of (meth)acrylate having a cyclic acid labile group and a dihydroxynaphthalene novolak resin, and containing a photoacid generator is improved in resolution, step coverage and adhesion on a highly reflective stepped substrate, has high resolution, and forms a pattern of good profile and minimal edge roughness through exposure and development.
    Type: Application
    Filed: February 24, 2012
    Publication date: August 30, 2012
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Takeshi Nagata, Taku Morisawa
  • Publication number: 20120219888
    Abstract: A polymer comprising 0.5-10 mol % of recurring units having acid generating capability and 50-99.5 mol % of recurring units providing for dissolution in alkaline developer is used to formulate a chemically amplified negative resist composition. When used in a lithography process, the composition exhibits a high resolution and forms a negative resist pattern of a profile with minimized LER and undercut.
    Type: Application
    Filed: February 28, 2012
    Publication date: August 30, 2012
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Keiichi MASUNAGA, Daisuke DOMON, Satoshi WATANABE
  • Patent number: 8252512
    Abstract: An novel epoxy compound is represented by the general formula (I) and has a benzo- or naphtho-cycloalkane skeleton: X, Y, and Z each independently represent an alkyl group having 1-10 carbon atoms and optionally substituted with a halogen atom, an aryl group having 6-20 carbon atoms and optionally substituted with a halogen atom, an arylalkyl group having 7-20 carbon atoms and optionally substituted with a halogen atom, a heterocyclic group having 2-20 carbon atoms and optionally substituted with a halogen atom, or a halogen atom; k represents a number of 0-4; p represents a number of 0-8; r represents a number of 0-4; n represents 0-10; x represents a number of 0-4; y represents a number of 0-4; a sum of x and y is 2-4; and an optical isomer that exists when n is not 0 may be of any type.
    Type: Grant
    Filed: April 28, 2008
    Date of Patent: August 28, 2012
    Assignee: Adeka Corporation
    Inventors: Taiki Mihara, Kiyoshi Murata, Koichi Kimijima
  • Publication number: 20120214091
    Abstract: A resist film formed by using a chemical amplification type resist composition containing (A) a high molecular compound having a structure wherein a hydrogen atom of a phenolic hydroxyl group is substituted by a group represented by the following general formula (I), (B) a compound generating an acid upon irradiation with actinic rays or radiation, and an organic solvent, and the film thickness is 10 to 200 nm. wherein, R1 represents a hydrocarbon group, R2 represents a hydrogen atom or a hydrocarbon group, and Ar represents an aryl group. R1 may also bind to Ar to form a ring which may also contain a heteroatom. * represents a binding position with an oxygen atom of the phenolic hydroxyl group.
    Type: Application
    Filed: February 7, 2012
    Publication date: August 23, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Tomotaka TSUCHIMURA, Takeshi INASAKI, Hiroo TAKIZAWA
  • Publication number: 20120214100
    Abstract: There is disclosed a resist composition, wherein the composition is used in a lithography and comprises at least: a polymer (A) that becomes a base resin whose alkaline-solubility changes by an acid, a photo acid generator (B) generating a sulfonic acid represented by the following general formula (1) by responding to a high energy beam, and a polymer additive (C) represented by the following general formula (2). There can be provided a resist composition showing not only excellent lithography properties but also a high receding contact angle, and in addition, being capable of suppressing a blob defect in both the immersion exposures using and not using a top coat; and a patterning process using the same.
    Type: Application
    Filed: February 14, 2012
    Publication date: August 23, 2012
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tomohiro Kobayashi, Youichi Ohsawa, Yuji Harada, Yuki Suka
  • Publication number: 20120214101
    Abstract: A resist composition including a base component (A) which exhibits changed solubility in a developing solution under action of acid, a basic-compound component (C) and an acid-generator component (B) which generates acid upon exposure, the component (B) including a compound represented by formula (b1), and the component (C) including at least one compound represented by formulas (c1) to (c3) (wherein Z1 represents a ring skeleton-containing hydrocarbon group, Q1 represents a divalent linking group containing oxygen, Y1 represents a fluorinated alkylene group, M+ represents an organic cation, R1 represents a fluorinated alkyl group or a hydrocarbon group, L1+ and L2+ represents a sulfonium or an iodonium, Z2 represents a hydrogen atom or a hydrocarbon group, Y2 represents a single bond or a divalent linking group containing no fluorine, R2 represents an organic group, Y3 represents an alkylene group or an arylene group; and Rf represents a fluorine-containing hydrocarbon group).
    Type: Application
    Filed: February 16, 2012
    Publication date: August 23, 2012
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Hiroaki Shimizu, Sho Abe, Hideto Nito
  • Publication number: 20120208127
    Abstract: A resist composition comprising a salt of a mono- to tetrafunctional carboxylic acid with a metal selected from magnesium, chromium, manganese, iron, cobalt, nickel, copper, zinc, silver, cadmium, indium, tin, antimony, cesium, zirconium, and hafnium, and a solvent is improved in film uniformity when coated, and exhibits a high resolution, high sensitivity, and minimal LER when processed by the EB or EUV lithography.
    Type: Application
    Filed: February 14, 2012
    Publication date: August 16, 2012
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Jun Hatakeyama
  • Publication number: 20120207978
    Abstract: An object of the present invention is to provide an actinic-ray-sensitive or radiation-sensitive resin composition which is significantly excellent in terms of exposure latitude, is capable of forming a favorable rectangular pattern profile, and exhibits low dissolution of the components into an immersion liquid when performing immersion exposure, and a resist film and a pattern forming method each using the same composition. The actinic-ray-sensitive or radiation-sensitive resin composition contains (A) a compound represented by formula (I) and capable of generating an acid upon irradiation of actinic-rays or radiations, and (B) a resin capable of increasing the solubility in an alkaline developer by the action of an acid.
    Type: Application
    Filed: February 9, 2012
    Publication date: August 16, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Akinori SHIBUYA, Shuhei YAMAGUCHI, Kunihiko KODAMA, Kenji WADA, Tomoki MATSUDA
  • Publication number: 20120208128
    Abstract: A resist composition including a base component (A) which generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid, the base component (A) including a resin component (A1) containing a structural unit (a0-1) having a group represented by general formula (a0-1) shown below and a structural unit (a1) derived from an acrylate ester which may have the hydrogen atom bonded to the carbon atom on the ?-position substituted with a substituent and contains an acid decomposable group which exhibits increased polarity by the action of acid.
    Type: Application
    Filed: February 10, 2012
    Publication date: August 16, 2012
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Daichi Takaki, Daiju Shiono, Masatoshi Arai, Jun Iwashita, Kenri Konno
  • Publication number: 20120202153
    Abstract: A resist composition comprising a polymer having recurring units having an acid labile group and recurring units of a magnesium, copper, zinc or cesium salt of (meth)acrylic acid, styrenecarboxylic acid or vinylnaphthalenecarboxylic acid copolymerized together exhibits a high resolution, high sensitivity, and minimal LER. The resist composition is best suited as the patterning material for VLSIs and photomasks.
    Type: Application
    Filed: February 8, 2012
    Publication date: August 9, 2012
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Jun Hatakeyama
  • Publication number: 20120202154
    Abstract: A black curable composition for a wafer level lens includes (A) a metal-containing inorganic pigment, (B) a polymerization initiator, and (C) a polymerizable compound. The (A) metal-containing inorganic pigment is preferably titanium black.
    Type: Application
    Filed: September 28, 2010
    Publication date: August 9, 2012
    Applicant: FUJIFILM CORPORATION
    Inventor: Kazuto Shimada
  • Publication number: 20120202145
    Abstract: Provided is a radiation-sensitive colored composition which exhibits excellent developability, has excellent heat resistance and solvent resistance, and is capable of forming a colored pattern with less color transfer and color unevenness. The radiation-sensitive colored composition includes a colorant multimer (A), a polymerizable compound (B), a photopolymerization initiator (C), and an organic solvent (D), wherein the content of an inorganic metal salt (X) including no colorant skeleton is 0.1% by mass or less with respect to a dye solid contents.
    Type: Application
    Filed: February 8, 2012
    Publication date: August 9, 2012
    Applicant: FUJIFILM Corporation
    Inventors: Kyouhei ARAYAMA, Hiroaki IDEI, Yushi KANEKO, Junichi ITOU, Yousuke MURAKAMI
  • Publication number: 20120196228
    Abstract: There is disclosed a resist composition comprising at least: (A) a polymer containing one or more repeating units having a structure shown by the following general formula (1) and/or (2), an alkaline-solubility of the polymer being increased by an acid, (B) a photo acid generator generating, with responding to a high energy beam, a sulfonic acid shown by the following general formula (3), and (C) an onium sulfonate shown by the following general formula (4). There can be a resist composition showing not only excellent LWR and pattern profile but also extremely good performance in pattern-fall resistance, and to provide a patterning process using the same.
    Type: Application
    Filed: January 6, 2012
    Publication date: August 2, 2012
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Takayuki NAGASAWA, Tomohiro KOBAYASHI, Ryosuke TANIGUCHI, Masaki OHASHI
  • Publication number: 20120187351
    Abstract: A colorant multimer includes, as a partial structure of a colorant moiety, a dipyrromethene metal complex compound or tautomer thereof obtained from: (i) a dipyrromethene compound represented by the following Formula (M); and (ii) a metal or a metal compound: wherein in Formula (M), R4, R5, R6, R7, R8, R9, and R10 each independently represent a hydrogen atom or a monovalent substituent.
    Type: Application
    Filed: September 28, 2010
    Publication date: July 26, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Junichi Ito, Masaru Yoshikawa, Yuki Mizukawa, Kenta Ushijima, Shinichi Kanna, Haruki Inabe, Yoshihiko Fujie, Akiyoshi Goto, Yushi Kaneko, Hiroaki Idei
  • Publication number: 20120184101
    Abstract: In a chemically amplified positive resist composition comprising a base resin and an acid generator in a solvent, the base resin contains both an alkali-insoluble or substantially alkali-insoluble polymer having an acid labile group-protected acidic functional group having a Mw of 1,000-500,000 and an alkyl vinyl ether polymer having a Mw of 10,000-500,000. The composition forms on a substrate a resist film of 5-100 ?m thick which can be briefly developed to form a pattern at a high sensitivity and a high degree of removal or dissolution to bottom.
    Type: Application
    Filed: January 19, 2012
    Publication date: July 19, 2012
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Hiroyuki Yasuda, Katsuya Takemura
  • Publication number: 20120183904
    Abstract: A chemically amplified positive resist composition of better performance can be formulated using a polymer having a quencher incorporated therein, specifically a polymer comprising recurring units having a carbamate structure which is decomposed with an acid to generate an amino group and optionally recurring units having an acid labile group capable of generating a carboxyl and/or hydroxyl group under the action of an acid. The polymer is highly effective for suppressing diffusion of acid and diffuses little itself, and the composition forms a pattern of rectangular profile at a high resolution.
    Type: Application
    Filed: January 13, 2012
    Publication date: July 19, 2012
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masayoshi Sagehashi, Jun Hatakeyama, Takeru Watanabe, Tomohiro Kobayashi
  • Patent number: 8221958
    Abstract: Disclosed is a photosensitive paste including glass frit; organic binder; polymerizable monomer; photopolymerization initiator; and organic solvent, wherein at least a part of the polymerizable monomer contains phosphorus atom within the structure.
    Type: Grant
    Filed: May 28, 2009
    Date of Patent: July 17, 2012
    Assignee: E.I. du Pont de Nemours and Company
    Inventors: Kazushige Ito, Masakatsu Kuroki
  • Publication number: 20120178024
    Abstract: A polymer includes a repeating unit shown by a general formula (1). R1 represents a hydrogen atom, a methyl group, a fluorine atom, or a trifluoromethyl group. R2 represents a substituted or unsubstituted aryl group having 6 to 22 carbon atoms. Y represents a carbon atom. X represents —X1Z1X2— which is an atomic group which forms a cyclic structure including a heteroatom together with Y. Z1 represents —O—, —S—, —CO—, —COO—, —SO—, or —SO2—. Each of X1 and X2 individually represents a single bond, a methylene group, or an alkylene group having 2 to 25 carbon atoms. Each of X1 and X2 is unsubstituted or substituted with a substituent, and optionally a carbon atom included in X1 and a carbon atom included in X2 are bonded via a divalent group.
    Type: Application
    Filed: March 22, 2012
    Publication date: July 12, 2012
    Applicant: JSR Corporation
    Inventors: Ken MARUYAMA, Toru KIMURA
  • Publication number: 20120171616
    Abstract: A compound has formula (I): Q-O-(A)-Z?G+??(I) wherein Q is a halogenated or non-halogenated, C2-30 olefin-containing group, A is a fluorine-substituted C1-30 alkylene group, a fluorine-substituted C3-30 cycloalkylene group, a fluorine-substituted C6-30 arylene group, or a fluorine-substituted C7-30 alkylene-arylene group, Z is an anionic group comprising sulfonate, sulfonamide, or sulfonamide, and G+ has formula (II): wherein X is S or I, each R0 is halogenated or non-halogenated and is independently C1-30 alkyl group; a polycyclic or monocyclic C3-30 cycloalkyl group; a polycyclic or monocyclic C4-30 aryl group; or a combination of these, wherein when X is S, one of the R0 groups is optionally attached to one adjacent R0 group by a single bond, and a is 2 or 3, wherein when X is I, a is 2, or when X is S, a is 3. A copolymer, a photoresist, a coated substrate and method of patterning are disclosed.
    Type: Application
    Filed: December 29, 2011
    Publication date: July 5, 2012
    Inventors: James W. Thackeray, Suzanne M. Coley, Vipul Jain, Owendi Ongayi, James F. Cameron, Paul J. LaBeaume, Ahmad E. Madkour
  • Publication number: 20120171617
    Abstract: Provided are polymers that include a unit comprising a particular acetal moiety and a unit comprising a lactone moiety. Also provided are photoresist compositions containing such a polymer, substrates coated with the photoresist compositions and methods of forming photolithographic patterns. The polymers, compositions, methods and coated substrates find particular applicability in the manufacture of semiconductor devices.
    Type: Application
    Filed: December 31, 2011
    Publication date: July 5, 2012
    Applicants: Dow Global Technologies LLC, Rohm and Haas Electronic Matericals LLC
    Inventors: Young Cheol BAE, Thomas H. Peterson, Yi Liu, Jong Keun Park, Seung-Hyun Lee, Thomas Cardolaccia
  • Publication number: 20120171618
    Abstract: According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes a resin containing a repeating unit (A) containing both a structural moiety (S1) that is decomposed by an action of an acid to thereby generate an alkali-soluble group and a structural moiety (S2) that is decomposed by an action of an alkali developer to thereby increase its rate of dissolution into the alkali developer, and a compound that generates an acid when exposed to actinic rays or radiation.
    Type: Application
    Filed: March 15, 2012
    Publication date: July 5, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Yusuke IIZUKA, Hidenori TAKAHASHI, Michihiro SHIRAKAWA, Masahiro YOSHIDOME, Shuji HIRANO
  • Publication number: 20120164579
    Abstract: A salt represented by the formula (I): wherein Q1, Q2, L1, L2, ring W, s, t, R1, R2 and Z+ are defined in the specification.
    Type: Application
    Filed: December 21, 2011
    Publication date: June 28, 2012
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Koji ICHIKAWA, Isao YOSHIDA, Yuki SUZUKI
  • Publication number: 20120164580
    Abstract: A resist composition including a base component which exhibits changed solubility in an alkali developing solution under action of acid and an acid-generator component which generates acid upon exposure, the acid-generator including an acid generator consisting of a compound represented by general formula (b1-1) shown below: In which RX represents a hydrocarbon group which may have a substituent exclusive of a nitrogen atom; each of Q2 and Q3 independently represents a single bond or a divalent linkage group; Y1 represents an alkylene group or fluorinated alkyl group of 1 to 4 carbon atoms; and Z+ represents an organic cation exclusive of an ion represented by general formula (w-1).
    Type: Application
    Filed: March 2, 2012
    Publication date: June 28, 2012
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Hideo Hada, Yoshiyuki Utsumi, Keita Ishiduka, Kensuke Matsuzawa, Fumitake Kaneko, Kyoko Ohshita, Hiroaki Shimizu, Yasuhiro Yoshii
  • Publication number: 20120164581
    Abstract: A negative resist composition including an alkali-soluble resin component (A), an acid generator component (B) that generates acid upon exposure, and a cross-linking component (C), the alkali-soluble resin component (A) including a polymeric compound (F) having a structural unit (f1) containing a base dissociable group and a structural unit (f2) containing a cross-linking group-containing group.
    Type: Application
    Filed: March 2, 2012
    Publication date: June 28, 2012
    Inventors: Abe Sho, Shiono Daiju, Hirano Tomoyuki, Dazai Takahiro
  • Publication number: 20120164577
    Abstract: A positive resist composition includes at least: (A) a polymer containing a repeating unit (a1) and an acid labile repeating unit (a2), wherein the repeating unit (a1) generates an acid of a structure represented by general formula (1) as a result that the repeating unit (a1) is sensed to a high-energy radiation, the polymer being changed in solubility in alkali by the acid; and (B) an onium sulfonate represented by general formula (2). Also, a positive resist composition, which simultaneously establishes a lower acid diffusing characteristic and a higher dissolution contrast, and which suppresses volatilization of components originated from the resist composition such as a generated acid, a quencher, and the like, to suppress a chemical flare, thereby improving a DOF, a circularity, an LWR, and the like of a hole pattern, trench pattern, and the like; and a patterning process using the positive resist composition.
    Type: Application
    Filed: December 1, 2011
    Publication date: June 28, 2012
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Ryosuke TANIGUCHI, Tomohiro KOBAYASHI, Takayuki NAGASAWA, Masaki OHASHI
  • Publication number: 20120164582
    Abstract: A radiation-sensitive composition includes a photoacid generator shown by a general formula (0-1a). Each of R0 individually represents a substituted or unsubstituted organic group which includes a carbon atom, a hydrogen atom, and an oxygen atom, and which includes at least one ester bond, and M+ represents a monovalent onium cation. A compound is shown by a general formula (0). R represents a substituted or unsubstituted organic group which includes a carbon atom, a hydrogen atom, and an oxygen atom, and which includes at least one ester bond, and M+ represents a monovalent onium cation.
    Type: Application
    Filed: March 8, 2012
    Publication date: June 28, 2012
    Applicant: JSR Corporation
    Inventor: Ken MARUYAMA
  • Publication number: 20120164578
    Abstract: A resist composition including a base component (A) which exhibits changed solubility in a developing solution under the action of acid, an acid generator component (B) which generates acid upon exposure, and a nitrogen-containing organic compound component (D), wherein the acid generator component (B) includes an acid generator (B1) containing a compound represented by general formula (b1-1) shown below, and the nitrogen-containing organic compound component (D) includes a compound (D1) represented by general formula (d1) shown below. In the formula, Y0 represents an alkylene group of 1 to 4 carbon atoms which may have a substituent, R0 represents an alkyl group, alkoxy group, halogen atom, halogenated alkyl group, hydroxyl group or oxygen atom (?O), p represents 0 or 1, and Z+ represents an organic cation.
    Type: Application
    Filed: December 16, 2011
    Publication date: June 28, 2012
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Tsuyoshi Kurosawa, Kotaro Endo, Yuichi Suzuki, Yuta Iwasawa
  • Publication number: 20120155819
    Abstract: A resin composition for an optical waveguide is provided, which has excellent adhesiveness to a cladding layer of the optical waveguide and excellent patternability for formation of a core portion of the optical waveguide and reduces the optical waveguide loss. An optical waveguide produced by using the resin composition is also provided. The resin composition comprises: (A) a multifunctional partially-acrylated epoxy resin, as a major component, having an epoxy group and a (meth)acrylate group in the same main chain thereof; and (B) a photopolymerization initiator as a curing component. The optical waveguide includes: a substrate; a cladding layer provided on the substrate; and a core portion provided on the cladding layer for transmission of an optical signal; wherein the core portion is formed from the resin composition.
    Type: Application
    Filed: November 15, 2011
    Publication date: June 21, 2012
    Applicant: NITTO DENKO CORPORATION
    Inventors: Tomoyuki Hirayama, Junichi Fujisawa
  • Publication number: 20120156620
    Abstract: A resist composition contains; (A1) a resin having a structural unit represented by the formula (aa) and at least one structural unit selected from the group consisting of a structural unit represented by the formula (a1-1) and a structural unit represented by the formula (a1-2); (A2) a resin which does not have the structural unit represented by the formula (aa) and which is insoluble or poorly soluble in aqueous alkali solution, but becomes soluble in aqueous alkali solution by the action of acid; and (B) an acid generator, wherein Raa1, Aaal, Raa2, La1, La2, Ra4, Ra5, Ra6, Ra7, m1, n1 and n1? are defined in the specification.
    Type: Application
    Filed: December 14, 2011
    Publication date: June 21, 2012
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Koji ICHIKAWA, Takashi HIRAOKA, Shingo FUJITA