Polyester Patents (Class 430/285.1)
  • Publication number: 20140147776
    Abstract: Provided is an alkali-developable photocurable/thermosetting resin composition from which a cured coating film that has excellent thermal shock resistance and exhibits excellent PCT resistance, HAST resistance and electroless gold plating resistance, which are important as a solder resist for semiconductor packages, can be formed. The alkali-developable photocurable/thermosetting resin composition is characterized by comprising (A) a carboxyl group-containing photosensitive resin, (B) a photopolymerization initiator, (C) a block copolymer and (D) a thermosetting component.
    Type: Application
    Filed: June 15, 2012
    Publication date: May 29, 2014
    Applicant: TAIYO INK MFG. CO., LTD.
    Inventors: Nobuhito Ito, Masao Arima
  • Publication number: 20140134843
    Abstract: The present disclosure relates to novel methanofullerene derivatives, negative-type photoresist compositions prepared therefrom and methods of using them. The derivatives, their photoresist compositions and the methods are ideal for fine pattern processing using, for example, ultraviolet radiation, beyond extreme ultraviolet radiation, extreme ultraviolet radiation, X-rays and charged particle rays.
    Type: Application
    Filed: October 31, 2013
    Publication date: May 15, 2014
    Inventors: Alex Philip Graham Robinson, Jon Andrew Preece, Richard Edward Palmer, Andreas Frommhold, Dongxu Yang, Alexandra McClelland, Drew Athens, Xiang Xu
  • Publication number: 20140134541
    Abstract: A positive resist composition comprises: (A) a resin of which solubility in an alkali developer increases under an action of an acid; (B) a compound capable of generating an acid upon irradiation with actinic rays or radiation; (C) a resin having at least one of a fluorine atom and a silicon atom; and (D) a solvent; and a pattern forming method using the positive resist composition.
    Type: Application
    Filed: January 17, 2014
    Publication date: May 15, 2014
    Applicant: FUJIFILM Corporation
    Inventors: Hiromi KANDA, Shinichi KANNA
  • Publication number: 20140127627
    Abstract: Provided is an actinic ray-sensitive or radiation-sensitive resin composition, a resist film formed with the composition, and a pattern-forming method using the same. The actinic ray-sensitive or radiation-sensitive resin composition includes (P) a resin that contains the following repeating units (A), (B) and (C); and a solvent having a boiling temperature of 150° C. or less, (A) a repeating unit containing a group capable of decomposing and forming an acid upon irradiation with an actinic ray or radiation, (B) a repeating unit containing a group capable of decomposing and forming a carboxylic acid by the action of an acid, and (C) a repeating unit containing a carbon-carbon unsaturated bond.
    Type: Application
    Filed: November 6, 2012
    Publication date: May 8, 2014
    Inventors: Takayuki Ito, Hidenori Takahashi, Tomotaka Tsuchimura, Shohei Kataoka, Takeshi Inasaki
  • Publication number: 20140120471
    Abstract: A compound having the formula (I): wherein a is an integer of from 1 to 10, and x is an integer of from 1 to 3, X1 comprises a fluoroalcohol, fluorinated ester, or fluorinated anhydride, Y is a single bond, C1-20 alkylene group, O, S, NR, ester, carbonate, sulfonate, sulfone, or sulfonamide, wherein R is H or C1-20 alkyl, and wherein the C1-20 alkylene group is structurally only carbon, or one or more structural carbon atoms in the C1-20 alkylene group is replaced by oxygen, carbonyl, ester, or a combination comprising at least one of the foregoing, Ar is a substituted or unsubstituted, C5 or greater monocyclic, polycyclic, or fused polycyclic cycloalkyl; or a substituted or unsubstituted, C5 or greater monocyclic, polycyclic, or fused polycyclic aryl group, wherein the cycloalkyl or aryl is a carbocycle or comprises a heteroatom comprising O, S, N, F, or a combination comprising at least one of the foregoing, each R1 is independently a substituted C5-40 aryl, substituted C5-40 heteroaryl, C1-40 alkyl, a
    Type: Application
    Filed: October 26, 2012
    Publication date: May 1, 2014
    Inventors: Emad Aqad, Cheng-Bai Xu, Cong Liu, Mingqi Li, Shintaro Yamada
  • Publication number: 20140120472
    Abstract: A resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid and an acid-generator component (B) including a compound represented by (b1-1), a compound represented by (b1-1?) and/or a compound represented by (b1-1?) (R1?-R3? represents an aryl group or an alkyl group, provided that at least one of R1?-R3? represents a substituted aryl group being substituted with a group represented by (b1-1-0), and two of R1?-R3? may be mutually bonded to form a ring with the sulfur atom; X represents a C3-C30 hydrocarbon group; Q1 represents a carbonyl group-containing divalent linking group; X10 represents a C1-C30 hydrocarbon group; Q3 represents a single bond or a divalent linking group; Y10 represents —C(?O)— or —SO2—; Y11 represents a C1-C10 alkyl group or a fluorinated alkyl group: Q2 represents a single bond or an alkylene group; and W represents a C2-C10 alkylene group).
    Type: Application
    Filed: January 6, 2014
    Publication date: May 1, 2014
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hideo HADA, Yoshiyuki UTSUMI, Takehiro SESHIMO, Akiya KAWAUE
  • Publication number: 20140120473
    Abstract: A colored radiation-sensitive composition for a color filter that includes (A) a pigment, (B) a photopolymerization initiator, and (C) a polymerizable compound, in which the colored radiation-sensitive composition satisfies the following conditions: when a colored radiation-sensitive composition layer is formed from the colored radiation-sensitive composition such that spectral transmittance at 600 nm becomes 30%, the colored radiation-sensitive composition layer includes the following characteristics: (1) spectral transmittance at 400 nm is 20% or less; (2) spectral transmittance at 550 nm is 10% or less; (3) spectral transmittance at 700 nm is 70% or more; (4) a wavelength resulting in 50% spectral transmittance is in a range of from 650 nm to 680 nm; and (5) a film thickness of the colored radiation-sensitive composition layer is in a range of from 0.55 ?m to 1.8 ?m.
    Type: Application
    Filed: January 6, 2014
    Publication date: May 1, 2014
    Applicant: FUJIFILM Corporation
    Inventor: Kaoru AOYAGI
  • Publication number: 20140113232
    Abstract: A block copolymer, adapted to self-assemble to form an ordered pattern on a substrate, has first and second blocks with a terminal moiety covalently bonded to the end of the first block. The molecular weight of the terminal moiety is 20% or less than that of the block copolymer and the terminal moiety has a low chemical affinity for the first block. The terminal moiety can assist the accurate positional placement of the domains of the ordered array and lead to improved critical dimension uniformity and/or reduced line edge roughness. The polymer may be useful in combination with a graphoepitaxy template, where the terminal moiety is chosen to associate with a sidewall of the template. This may reduce undesired aggregation of polymer domains at a sidewall and/or assist in domain placement accuracy.
    Type: Application
    Filed: June 7, 2012
    Publication date: April 24, 2014
    Applicant: ASML Netherlands B.V.
    Inventors: Aurelie Marie Andree Brizard, Sander Frederik Wuister, Roel Koole, Emiel Peeters
  • Publication number: 20140106278
    Abstract: There is provided a dry film resist sheet, including: a base film; a first dry film resist layer formed on the base film, the first dry film resist layer containing a binder polymer, a multi-functional monomer, and a photoinitiator; and a second dry film resist layer formed on the first dry film resist layer, the second dry film resist layer containing a binder polymer, a multi-functional monomer, a photoinitiator, and a thermal initiator.
    Type: Application
    Filed: December 31, 2012
    Publication date: April 17, 2014
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventors: Hye Jin CHO, Suk Jin HAM, Sung Hee LIM, Kyoung Soon PARK
  • Patent number: 8686098
    Abstract: A fluorine-containing polymer of the present invention contains a repeating unit (a) of the general formula (2) and has a mass-average molecular weight of 1,000 to 1,000,000. This polymer is suitably used in a resist composition for pattern formation by high energy ray radiation of 300 nm or less wavelength or electron beam radiation or a top coat composition for liquid immersion lithography and is characterized as having high water repellency, notably high receding contact angle. In the formula, R1 represents a polymerizable double bond-containing group; R2 represents a fluorine atom or a fluorine-containing alkyl group; R8 represents a substituted or unsubstituted alkyl group or the like; and W1 represents a single bond, a substituted or unsubstituted methylene group or the like.
    Type: Grant
    Filed: May 20, 2010
    Date of Patent: April 1, 2014
    Assignee: Central Glass Company, Limited
    Inventors: Kazunori Mori, Yuji Hagiwara, Masashi Nagamori, Yoshimi Isono, Satoru Narizuka, Kazuhiko Maeda
  • Patent number: 8685502
    Abstract: A liquid crystal display including a first substrate; a second substrate facing the first substrate; a first field generating electrode disposed on the first substrate; a second field generating electrode disposed on the second substrate; and a liquid crystal layer disposed between the first substrate and the second substrate, the liquid crystal layer including a liquid crystal and an alignment assistant, wherein the alignment assistant includes a mesogen and two or more photo-polymerizable groups.
    Type: Grant
    Filed: April 1, 2009
    Date of Patent: April 1, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jun-Hyup Lee, Jae-Jin Lyu
  • Publication number: 20140087310
    Abstract: A pattern forming method comprises (i) a step of forming a film by using an actinic ray-sensitive or radiation-sensitive resin composition containing: (A) a resin containing a repeating unit having a group capable of decomposing by the action of an acid to produce a polar group, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, and (C) a solvent, (ii) a step of exposing the film, and (iii) a step of developing the exposed film by using an organic solvent-containing developer to form a negative pattern, wherein the content of a repeating unit represented by the following formula (I) is less than 20 mol % based on all repeating units in the resin (A) and the resin (A) contains a repeating unit having a non-phenolic aromatic group other than the repeating unit represented by the specific formula.
    Type: Application
    Filed: December 2, 2013
    Publication date: March 27, 2014
    Applicant: FUJIFILM Corporation
    Inventors: Keita KATO, Michihiro SHIRAKAWA, Hidenori TAKAHASHI, Shoichi SAITOH, Fumihiro YOSHINO
  • Publication number: 20140087294
    Abstract: In a chemically amplified positive resist composition comprising (A) a base resin, (B) a photoacid generator, (C) a thermal crosslinker, and (D) an organic solvent, the base resin is a specific polymer and the crosslinker is a siloxane compound. A coating of the composition is readily developable in aqueous alkaline solution. On heat treatment, it forms a cured resist pattern film of satisfactory profile.
    Type: Application
    Filed: September 23, 2013
    Publication date: March 27, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masashi Iio, Katsuya Takemura, Takashi Miyazaki, Hideyoshi Yanagisawa
  • Publication number: 20140087311
    Abstract: The present invention provides a cross-linking agent capable of preventing formation of scum from a bottom anti-reflective coating, and also provides a composition for forming a bottom anti-reflection coating containing the agent. The cross-linking agent is a nitrogen-containing aromatic compound having at least one vinyloxy group or N-methoxymethylamide group, and the composition contains the cross-linking agent. The cross-linking agent of the formula (1) can be produced by reaction of a nitrogen-containing aromatic compound, a halogen compound having a vinyloxy group or N-methoxymethylamide group and a basic compound.
    Type: Application
    Filed: September 26, 2012
    Publication date: March 27, 2014
    Applicant: AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L.
    Inventors: Shigemasa NAKASUGI, Shinji MIYAZAKI, Munirathna PADMANABAN, Alberto D. DIOSES
  • Publication number: 20140080061
    Abstract: Compositions such as photoresists and microfabrication processes are provided that can produce high-fidelity microfabricated structures. The provided photoresists can have reduced swelling during the development phase and can give tight tolerances for products, such as microneedles, that can be used, for example, in the medical field. The provided compositions include a photoresist, a photoinitiator system dispersed in the photoresist, and a polymer-tethered nanoparticle dispersed in the photoresist. The photoresist can be a negative photoresist and the photoinitiator system can include a two-photoinitiator system. The polymer-tethered nanoparticle can include an acrylic polymer and, in some embodiments, can include poly(methyl methacrylate). The nanoparticles can include silica.
    Type: Application
    Filed: May 23, 2012
    Publication date: March 20, 2014
    Applicant: 3M Innovative Properties Company
    Inventors: David H. Redinger, Robert J. DeVoe, Belma Erdogan-Haug
  • Publication number: 20140080062
    Abstract: The present invention relates to new photoresist compositions that comprise (a) a polymer comprising an acid generator bonded thereto; and (b) an acid generator compound that is not bonded to the polymer and that comprises one or more acid-labile groups.
    Type: Application
    Filed: September 16, 2013
    Publication date: March 20, 2014
    Inventors: James W. THACKERAY, Jin Wuk SUNG, Paul J. LaBEAUME, Vipul JAIN
  • Publication number: 20140080063
    Abstract: The present invention provides a pigment dispersion excellent in dispersibility. Furthermore, the present invention provides a color filter yellow resist composition and an ink composition, which include the pigment dispersion. A pigment dispersion containing at least a compound represented by General formula (1) and a yellow pigment represented by General formula (2) in a dispersion medium and a method for manufacturing the same are provided. Furthermore, a color filter yellow resist composition and an ink composition are provided, wherein images can be displayed with high spectral characteristics and high display contrast because the brightness is high and the hue of yellow is excellent.
    Type: Application
    Filed: April 23, 2012
    Publication date: March 20, 2014
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Taichi Shintou, Yutaka Tani, Masao Nakano, Takayuki Ujifusa, Kaoru Takahashi, Satoshi Saito, Takeshi Miyazaki, Masashi Hirose
  • Patent number: 8673536
    Abstract: The present invention relates to amorphous photosensitive networks. The networks are characterized by good shape memory properties.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: March 18, 2014
    Assignee: Helmholtz-Zentrum Geesthacht Zentrum fuer Material und Kuesten forschung GmbH
    Inventors: Andreas Lendlein, Hong-Yan Jiang, Oliver Jünger
  • Publication number: 20140065544
    Abstract: A polymer capable of increasing alkali solubility under the action of acid, as a base resin is blended with a copolymer comprising recurring units derived from acenaphthylene, indene, benzofuran or benzothiophene and fluorine-containing recurring units, as a polymeric additive to formulate a resist composition. The photoresist film formed using the resist composition is effective for minimizing outgassing therefrom during the EUV lithography. The resist film has a hydrophilic surface and is effective for suppressing formation of blob defects after development.
    Type: Application
    Filed: August 28, 2013
    Publication date: March 6, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Kenji Funatsu, Kazuhiro Katayama
  • Publication number: 20140065542
    Abstract: A photoresist composition includes a binder resin combined with a black dye, a monomer, a photo-polymerization initiator and a remainder of a solvent.
    Type: Application
    Filed: March 29, 2013
    Publication date: March 6, 2014
    Applicant: Samsung Display Co., Ltd.
    Inventors: Soo-Hye RYU, Yi-Seop SHIM, Chang-soon JANG, Chul HUH
  • Publication number: 20140065543
    Abstract: The present application relates to a novel compound, a photosensitive composition comprising the same and a photosensitive material.
    Type: Application
    Filed: January 24, 2013
    Publication date: March 6, 2014
    Applicant: LG CHEM, LTD.
    Inventors: Keon Woo Lee, Sang Kyu Kwak, Changsoon Lee, Hyehyeon Kim, Saehee Kim
  • Publication number: 20140065545
    Abstract: A polymer capable of increasing alkali solubility under the action of acid, as a base resin is blended with a copolymer comprising recurring units derived from (meth)acrylate, vinyl ether, vinylfluorene, vinylanthracene, vinylpyrene, vinylbiphenyl, stilbene, styrylnaphthalene or dinaphthylethylene, and fluorine-containing recurring units, as a polymeric additive to formulate a resist composition. The photoresist film formed using the resist composition is effective for minimizing outgassing therefrom during the EUV lithography. The resist film has a hydrophilic surface and is effective for suppressing formation of blob defects after development.
    Type: Application
    Filed: August 29, 2013
    Publication date: March 6, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama, Kenji Funatsu
  • Publication number: 20140065546
    Abstract: A polymer capable of increasing alkali solubility under the action of acid, as a base resin is blended with a polymer comprising recurring units derived from a styrene having 1,1,1,3,3,3-hexafluoro-2-propanol as a polymeric additive to formulate a resist composition. The photoresist film formed using the resist composition is effective for minimizing outgassing therefrom during the EUV lithography, reducing LWR after development, and suppressing formation of blob defects after development because of its hydrophilic surface.
    Type: Application
    Filed: August 29, 2013
    Publication date: March 6, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Jun Hatakeyama
  • Publication number: 20140051026
    Abstract: A negative pattern is formed by coating a resist composition comprising a polymer comprising recurring units having a tertiary ester type acid labile group having a plurality of methyl or ethyl groups on alicycle and an acid generator onto a substrate, prebaking, exposing to high-energy radiation, baking, and developing in an organic solvent developer so that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. The resist composition exhibits a high dissolution contrast during organic solvent development and forms a fine hole or trench pattern of dimensional uniformity.
    Type: Application
    Filed: August 16, 2013
    Publication date: February 20, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Koji Hasegawa, Jun Hatakeyama, Masayoshi Sagehashi, Teppei Adachi
  • Publication number: 20140045123
    Abstract: A polymer comprising recurring units derived from a (meth)acrylate monomer of tertiary ester type having branched alkyl on alicycle is used to form a resist composition. When subjected to exposure, PEB and organic solvent development, the resist composition is improved in dissolution contrast.
    Type: Application
    Filed: August 1, 2013
    Publication date: February 13, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masayoshi Sagehashi, Jun Hatakeyama, Koji Hasegawa
  • Publication number: 20140045122
    Abstract: A positive resist composition comprises a polymer having a carboxyl group substituted with an acid labile group having formula (1) wherein R1 and R2 are alkyl or alkenyl, R3 and R4 are a single bond, methylene, ethylene or propylene, R5 and R6 are hydrogen or alkyl. The composition has a high dissolution contrast, high resolution, and suppressed acid diffusion rate, and forms a pattern of good profile and minimal edge roughness.
    Type: Application
    Filed: August 1, 2013
    Publication date: February 13, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Koji Hasegawa
  • Publication number: 20140045121
    Abstract: A photoresist composition including: about 5% by weight to about 10% by weight of a binder resin; about 5% by weight to about 10% by weight of a photo-polymerization monomer; about 1% by weight to about 5% by weight of a photo initiator, which is activated by a light having a peak wavelength from about 400 nm to about 410 nm; about 5% by weight to about 10% by weight of a black-coloring agent, each based on a total weight of the photoresist composition; and a solvent.
    Type: Application
    Filed: February 12, 2013
    Publication date: February 13, 2014
    Applicant: SAMSUNG DISPLAY CO., LTD.
    Inventors: Ki-Beom LEE, Chang-Hoon KIM, Su-Yeon SIM, Sang-Hyn LEE, Hi-Kuk LEE
  • Patent number: 8647813
    Abstract: Disclosed are a photosensitive composition containing an aqueous dispersion and having excellent storage stability, and a photosensitive lithographic printing plate material using the photosensitive composition and being capable of being developed using water, which is advantageous not only in that the photosensitive lithographic printing plate material exhibits high sensitivity and high image quality as well as excellent storage stability, but also in that even when the printing plate material is repeatedly subjected to developing treatment using water, the generation of sludge derived from the photosensitive composition in the developer repeatedly used is suppressed, achieving excellent developing properties.
    Type: Grant
    Filed: March 25, 2011
    Date of Patent: February 11, 2014
    Assignee: Mitsubishi Paper Mills Limited
    Inventors: Akira Furukawa, Takahiro Hagihara
  • Patent number: 8642234
    Abstract: A carboxyl group-containing photosensitive resin is obtained by reacting an ?,?-ethylenically unsaturated group-containing monocarboxylic acid (c) with a phenolic compound (a) containing the structure represented by the following general formula (I) and having at least two phenolic hydroxyl groups in its molecule, wherein part or the whole of the phenolic hydroxyl groups being modified into an oxyalkyl group, and further reacting a polybasic acid anhydride (d) with the resultant reaction product; wherein R1 represents either one of a hydrocarbon radical of 1 to 11 carbon atoms, a SO2 group, an oxygen atom and sulfur atom, R2 represents a hydrocarbon radical of 1 to 11 carbon atoms, “a” represents an integer of 0 to 3, “n” represents an integer of 1 to 2, and “m” represents an integer of 1 to 10.
    Type: Grant
    Filed: September 13, 2011
    Date of Patent: February 4, 2014
    Assignees: Taiyo Holdings Co., Ltd., Showa Denko K.K.
    Inventors: Nobuhito Ito, Masao Arima, Syouji Nishiguchi, Kouji Ogawa, Masayuki Kobayashi, Atsushi Sakamoto
  • Publication number: 20140030640
    Abstract: A resist pattern forming method contains: in the following order, (1) forming a resist film by using a negative chemical amplification resist composition containing (A) a polymer compound having a repeating unit represented by formula (1) as defined in the specification, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation and (C) a crosslinking agent capable of crosslinking the polymer compound (A) by an action of an acid; (2) exposing the resist film, so as to form an exposed resist film; and (4) developing the exposed resist film by using a developer containing an organic solvent.
    Type: Application
    Filed: September 24, 2013
    Publication date: January 30, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Toru TSUCHIHASHI, Tadateru YATSUO, Koutarou TAKAHASHI, Tomotaka TSUCHIMURA
  • Publication number: 20140023971
    Abstract: A photoresist composition comprising a resin which comprises a structural unit represented by formula (I); wherein R1 represents a hydrogen atom or a methyl group, and R2 represents C1-C10 hydrocarbon group; and a resin which comprises a structural unit having an acid-labile group and no structural unit represented by formula (I); and an acid generator represented by formula (II): wherein X2 represents a C1-C6 alkanediyl group where a hydrogen atom can be replaced by a hydroxyl group or a group —O—R5 and where a methylene group can be replaced by an oxygen atom or a carbonyl group, R4 and R5 each independently represent a C1-C24 hydrocarbon group where a hydrogen atom can be replaced by a fluorine atom or a hydroxyl group and where a methylene group can be replaced by an oxygen atom or a carbonyl group, and Z+ represents an organic cation.
    Type: Application
    Filed: July 15, 2013
    Publication date: January 23, 2014
    Inventors: Tatsuro MASUYAMA, Satoshi YAMAGUCHI
  • Patent number: 8632948
    Abstract: The invention relates to a photoimageable antireflective coating composition capable of forming a pattern by development in an aqueous alkaline solution, comprising, (i) a polymer A soluble in a coating solvent and comprises a chromophore, a crosslinking moiety, and optionally a cleavable group which under acid or thermal conditions produces a functionality which aids in the solubility of the polymer in an aqueous alkaline solution and; (ii) at least one photoacid generator; (iii) a crosslinking agent; (iv) optionally, a thermal acid generator; (v) a polymer B which is soluble in an aqueous alkaline solution prior to development, where polymer B is non-miscible with polymer A and soluble in the coating solvent, and; (vi) a coating solvent composition, and (vii) optionally, a quencher. The invention also relates to a process for imaging the antireflective coating.
    Type: Grant
    Filed: September 30, 2009
    Date of Patent: January 21, 2014
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Munirathna Padmanaban, Srinivasan Chakrapani, Francis M. Houlihan, Shinji Miyazaki, Edward Ng, Mark O. Neisser
  • Patent number: 8632944
    Abstract: A mask-forming film has a transparent layer between the imageable layer and the carrier sheet, which transparent layer has a refractive index that is lower (by at least 0.04) than that of the carrier sheet or any immediately adjacent layer between it and the carrier sheet. This lower refractive index layer modifies the path of incident radiation during mask image transfer so as to provide steeper shoulder angles in the relief image solid areas. This mask film is used to form a relief image such as in a flexographic printing plate.
    Type: Grant
    Filed: May 10, 2012
    Date of Patent: January 21, 2014
    Assignee: Eastman Kodak Company
    Inventors: Gregory L. Zwadlo, David E. Brown, Elsie A. Fohrenkamm, A. Peter Stolt
  • Publication number: 20140011136
    Abstract: A negative pattern is formed by coating a resist composition comprising a branched polymer having chains extending in at least three directions and an optional acid generator onto a substrate, prebaking, exposing to high-energy radiation, baking, and developing in an organic solvent developer so that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. The resist composition exhibits a high dissolution contrast and no swell during organic solvent development, and forms a pattern without collapse and bridging defects.
    Type: Application
    Filed: June 18, 2013
    Publication date: January 9, 2014
    Inventors: Jun Hatakeyama, Tomohiro Kobayashi, Kenji Funatsu
  • Publication number: 20140004463
    Abstract: A radiation-sensitive resin composition that provides a resist coating film in a liquid immersion lithography process is provided, the radiation-sensitive resin composition being capable of exhibiting a great dynamic contact angle during exposure, whereby the surface of the resist coating film can exhibit a superior water draining property, and the radiation-sensitive resin composition being capable of leading to a significant decrease in the dynamic contact angle during development, whereby generation of development defects can be inhibited, and further shortening of a time period required for change in a dynamic contact angle is enabled. A radiation-sensitive resin composition including (A) a polymer having a structural unit (I) represented by the following formula (1), and (B) a radiation-sensitive acid generator.
    Type: Application
    Filed: May 19, 2011
    Publication date: January 2, 2014
    Applicant: JSR CORPORATION
    Inventors: Yusuke Asano, Yoshifumi Oizumi, Akimasa Soyano, Takeshi Ishii
  • Publication number: 20140004464
    Abstract: A copolymer comprises the polymerized product of a dissolution-rate controlling monomer having the formula (I), an acyclic vinyl ether monomer of the formula (II), and a cyclic vinyl ether monomer of the formula (III): wherein Ra, Rb, Rc, L, X, and Z1 are defined herein. A photoresist composition comprising the copolymer is described, as is an article coated with the photoresist composition, and a method of forming an electronic device using the photoresist composition.
    Type: Application
    Filed: June 25, 2013
    Publication date: January 2, 2014
    Applicants: ROHM AND HAAS ELECTRONIC MATERIALS LLC, DOW GLOBAL TECHNOLOGIES LLC
    Inventors: Matthew D. CHRISTIANSON, Matthew M. MEYER, Owendi Ongayi
  • Publication number: 20130344442
    Abstract: A polymer comprising recurring units of butyrolactone (meth)acrylate, recurring units having a carboxyl or phenolic group which is substituted with an acid labile group, and recurring units having a phenol group or an adhesive group in the form of 2,2,2-trifluoro-1-hydroxyethyl is quite effective as a base resin for resist. A positive resist composition comprising the polymer is improved in such properties as a contrast of alkali dissolution rate before and after exposure, acid diffusion suppressing effect, resolution, and profile and edge roughness of a pattern after exposure.
    Type: Application
    Filed: June 17, 2013
    Publication date: December 26, 2013
    Inventors: Masayoshi Sagehashi, Jun Hatakeyama, Koji Hasegawa
  • Publication number: 20130344441
    Abstract: Provided is a hydrophobic negative tone developable (NTD) resist composition comprising (a) a hydrophobic polymer having (i) at least one nonpolar acid-stable group; and (ii) at least one nonpolar acid-labile group, and (b) a photoacid generator (PAG) that may or may not be bound to the polymer, wherein a nonpolar aromatic or aliphatic organic hydrocarbon solvent is used to develop the unexposed regions of the NTD resist film and the resist film is not developable in an aqueous base developer, such as 0.26 N TMAH.
    Type: Application
    Filed: June 21, 2012
    Publication date: December 26, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: RATNAM SOORIYAKUMARAN, LINDA K. SUNDBERG, ANKIT VORA
  • Publication number: 20130337383
    Abstract: A negative pattern is formed by coating a resist composition comprising a polymer comprising recurring units having a carboxyl group substituted with an acid labile group of tertiary ester and an optional acid generator onto a substrate, prebaking, exposing to high-energy radiation, baking, and developing in an organic solvent developer so that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. The resist composition exhibits a high dissolution contrast during organic solvent development and forms a fine hole or trench pattern at a high sensitivity and dimensional control.
    Type: Application
    Filed: June 17, 2013
    Publication date: December 19, 2013
    Inventors: Jun Hatakeyama, Koji Hasegawa, Masayoshi Sagehashi, Kazuhiro Katayama, Kentaro Kumaki, Tomohiro Kobayashi
  • Publication number: 20130337380
    Abstract: The invention relates to a novel positive working photosensitive composition having: at least one photoacid generator; at least one novolak polymer; at least one polymer, having a polymer backbone, said polymer comprising a structure of the following formula: wherein R1-R5 are, independently, —H or —CH3, A is a linear or branched C1-C10 alkylene group, B is a C1-C12 alkyl or alicyclic group, D is a linking group that may be a chemical bond, a carboxylate group, wherein the carbonyl carbon is bonded to the polymer backbone, or a —COOCH2— group, wherein the carbonyl carbon is bonded to the polymer backbone, Ar is a substituted or unsubstituted aromatic group or heteroaromatic group, E is a linear or branched C2-C10 alkylene group, G is an acid cleavable group. The invention further relates to a process for using the novel composition for forming an image.
    Type: Application
    Filed: June 15, 2012
    Publication date: December 19, 2013
    Applicant: AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L.
    Inventors: Weihong LIU, PingHung LU, Chunwei CHEN, Stephen MEYER, Medhat TOUKHY, SookMee LAI
  • Publication number: 20130337381
    Abstract: Disclosed are compositions for negative-working thick film photophotoresists based on acrylic co-polymers. Also included are methods of using the compositions.
    Type: Application
    Filed: June 15, 2012
    Publication date: December 19, 2013
    Applicant: AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L.
    Inventors: Chunwei CHEN, PingHung LU, Weihong LIU, Medhat TOUKHY, SangChul KIM, SookMee LAI
  • Publication number: 20130337384
    Abstract: A positive resist composition for immersion exposure includes the following (A) to (D): (A) a resin capable of decomposing by an action of an acid to increase a solubility of the resin in an alkali developer; (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; (C) a resin having at least either one of a fluorine atom and a silicon atom; and (D) a mixed solvent containing at least one kind of a solvent selected from the group consisting of solvents represented by any one of the following formulae (S1) to (S3) as defined in the specification, in which a total amount of the at least one kind of the solvent is from 3 to 20 mass % based on all solvents of the mixed solvent (D).
    Type: Application
    Filed: August 15, 2013
    Publication date: December 19, 2013
    Applicant: FUJIFILM Corporation
    Inventors: Kei YAMAMOTO, Hiroshi SAEGUSA
  • Publication number: 20130337385
    Abstract: A negative pattern-forming method includes providing a resist film on a substrate using a photoresist composition. The photoresist composition includes a first polymer and an organic solvent. The first polymer includes a first structural unit having an acid-generating capability. The resist film is exposed. The exposed resist film is developed using a developer that includes an organic solvent.
    Type: Application
    Filed: August 22, 2013
    Publication date: December 19, 2013
    Applicant: JSR CORPORATION
    Inventors: Taiichi FURUKAWA, Koji ITO, Hiromu MIYATA, Hirokazu SAKAKIBARA
  • Publication number: 20130337382
    Abstract: A compound represented by general formula (b1) shown below (in the formula, Y1 represents a divalent linking group; W represents S, Se or I; R1 represents a hydrocarbon group; represents an alkyl group of 1 to 5 carbon atoms or an alkoxy group of 1 to 5 carbon atoms; when W represents I, m+n=2, and when W represents S or Se, m+n=3, provided that m?1 and n?0; p represents an integer of 0 to 5; and X? represents a counteranion.
    Type: Application
    Filed: June 11, 2013
    Publication date: December 19, 2013
    Inventors: Yoshiyuki Utsumi, Hideto Nito
  • Publication number: 20130323646
    Abstract: A polymer is obtained from copolymerization of a unit having a carboxyl and/or phenolic hydroxyl group substituted with an acid labile group with a hydroxyphenyl methacrylate unit having one acyl, acyloxy or alkoxycarbonyl group. The polymer is useful as a base resin in a positive resist composition. The resist composition comprising the polymer is improved in contrast of alkali dissolution rate before and after exposure, acid diffusion control, resolution, and profile and edge roughness of a pattern after exposure.
    Type: Application
    Filed: May 9, 2013
    Publication date: December 5, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Masayoshi Sagehashi
  • Publication number: 20130323647
    Abstract: A photo or heat-sensitive polymer comprising recurring units having polymerizable anion-containing sulfonium salt and phenolic hydroxyl-containing recurring units is useful as a base resin to formulate a resist composition having high sensitivity, high resolution and low LWR.
    Type: Application
    Filed: May 24, 2013
    Publication date: December 5, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masaki Ohashi, Jun Hatakeyama
  • Publication number: 20130316287
    Abstract: A photoresist composition includes a base polymer, a polymer and an acid generator. The base polymer includes a first structural unit that includes an acid-labile group. The polymer includes a second structural unit that includes an acid-labile group, and has a fluorine atom content higher than a fluorine atom content of the base polymer. The photoresist composition is developed using an organic solvent. The second structural unit is represented by a formula (1) or a formula (2). R1 is a hydrogen atom, a fluorine atom, a methyl group, or a trifluoromethyl group. Z1 is a divalent monocyclic hydrocarbon group having 5 or 6 carbon atoms or a divalent polycyclic hydrocarbon group having 7 to 10 carbon atoms. R2 is a hydrogen atom, a fluorine atom, a methyl group, or a trifluoromethyl group. R3 is an alicyclic hydrocarbon group having 5 to 20 carbon atoms.
    Type: Application
    Filed: July 31, 2013
    Publication date: November 28, 2013
    Applicant: JSR CORPORATION
    Inventors: Hirokazu SAKAKIBARA, Hiromu MIYATA, Taiichi FURUKAWA, Koji ITO
  • Publication number: 20130302736
    Abstract: A resist composition including a base material component whose solubility in a developing solution changes by the action of an acid and an acid generator component which generates an acid upon exposure. The acid generator component includes an acid generator that includes a compound containing nitrogen atoms having proton acceptor properties and sites capable of generating an acid upon exposure in the same molecule, the number of the sites being larger than the number of the nitrogen atoms.
    Type: Application
    Filed: April 30, 2013
    Publication date: November 14, 2013
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshiyuki Utsumi, Yoshitaka Komuro, Tomoyuki Hirano
  • Publication number: 20130302726
    Abstract: A chemical amplification resist composition contains: (A) a polymer compound having a structure where a hydrogen atom of a phenolic hydroxyl group is replaced by a group having a non-acid-decomposable polycyclic alicyclic hydrocarbon structure; and (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation.
    Type: Application
    Filed: July 16, 2013
    Publication date: November 14, 2013
    Inventors: Tomotaka TSUCHIMURA, Tadateru YATSUO
  • Publication number: 20130302728
    Abstract: The present invention relates to a radically polymerizable composition comprising a hydroxylamine ester used to manufacture color filters. The invention further relates to novel hydroxylamine esters. The invention further relates to the use of hydroxylamine esters in all liquid crystal display components requiring post-baking.
    Type: Application
    Filed: July 11, 2013
    Publication date: November 14, 2013
    Applicant: BASF SE
    Inventors: Hisatoshi Kura, Kaori Sameshima, Kazuhiko Kunimoto, Peter Nesvadba, Masaki Ohwa