With Formation Of Resist Image, And Etching Of Substrate Or Material Deposition Patents (Class 430/313)
  • Patent number: 8916054
    Abstract: A stack of a hard mask layer, a soft mask layer, and a photoresist is formed on a substrate. The photoresist is patterned to include at least one opening. The pattern is transferred into the soft mask layer by an anisotropic etch, which forms a carbon-rich polymer that includes more carbon than fluorine. The carbon-rich polymer can be formed by employing a fluorohydrocarbon-containing plasma generated with fluorohydrocarbon molecules including more hydrogen than fluorine. The carbon-rich polymer coats the sidewalls of the soft mask layer, and prevents widening of the pattern transferred into the soft mask. The photoresist is subsequently removed, and the pattern in the soft mask layer is transferred into the hard mask layer. Sidewalls of the hard mask layer are coated with the carbon-rich polymer to prevent widening of the pattern transferred into the hard mask.
    Type: Grant
    Filed: October 26, 2011
    Date of Patent: December 23, 2014
    Assignees: International Business Machines Corporation, Zeon Corporation
    Inventors: Markus Brink, Sebastian U. Engelmann, Nicholas C. M. Fuller, Michael A. Guillorn, Hiroyuki Miyazoe, Masahiro Nakamura
  • Patent number: 8911932
    Abstract: Disclosed are the deactivation mechanism and chemistry platforms that make high-silicon hardmask films photo-imageable like positive-tone photoresist for microphotolithography. The deactivation mechanism requires a catalyst to promote crosslinking reactions, and a photoacid generator to deactivate the catalyst. The initial hardmask films are soluble in developers. If not radiated, films become insoluble in developers due to crosslinking reactions promoted by catalyst. If radiated, films remain soluble in developers due to deactivation of catalyst by photoacid generator. Compositions of positive-tone photo-imageable hardmask based on the chemistry of polysiloxane and polysilsesquioxanes are disclosed as well. Also disclosed is a method of modifying polysiloxane and polysilsesquioxane films for controlled diffusion of catalysts, photoacid generators, and quenchers.
    Type: Grant
    Filed: April 12, 2010
    Date of Patent: December 16, 2014
    Inventor: Sam Xunyun Sun
  • Patent number: 8906595
    Abstract: A method of fabricating a mask is described. The method includes receiving receiving an integrated circuit (IC) design layout that has a first pattern layer including a first feature and has a second pattern layer including a second feature, wherein the first pattern layer and the second pattern layer are spatially related when formed in a substrate such that the first and second features are spaced a first distance between a first edge of the first feature and a second edge of the second feature, modifying the IC design layout by adjusting a dimension of the first feature based on the first distance, and generating a tape-out data from the modified IC design layout for mask making. The method further includes applying a logic operation (LOP) to the IC design layout.
    Type: Grant
    Filed: November 1, 2012
    Date of Patent: December 9, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Lun Liu, Chia-Chu Liu, Kuei-Shun Chen, Chung-Ming Wang, Chie-Chieh Lin
  • Patent number: 8906591
    Abstract: The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: December 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Sen Liu, Pushkara R. Varanasi
  • Patent number: 8900799
    Abstract: A thin film patterning method may include forming a thin film by coating a precursor solution containing a precursor of metal oxide onto a substrate, soft baking the thin film, exposing the thin film to light by using a photomask, developing the thin film, and hard baking the developed thin film. The precursor may include metal acetate, for example, a zinc acetate-based material, and the metal oxide thin film may include zinc oxide (ZnO).
    Type: Grant
    Filed: August 17, 2012
    Date of Patent: December 2, 2014
    Assignees: Samsung Electronics Co., Ltd., Samsung Display Co., Ltd.
    Inventors: Jong-baek Seon, Myung-kwan Ryu, Sang-yoon Lee
  • Patent number: 8895227
    Abstract: A developing solution is disclosed with which it is possible to develop a photoresist disposed on a substrate including a conductive polymer. Also disclosed is a method for forming a resist pattern using the developing solution. The developing solution contains one or more acids and/or salts thereof, the acids being selected from inorganic acids, amino acids having an isoelectric point less than 7, and carboxylic acids having two or more carboxy groups. Use of this developing solution hence inhibits the phenomenon in which a substrate including a conductive polymer suffers an increase in surface resistivity due to a developing solution, and makes it possible to obtain a fine resist pattern.
    Type: Grant
    Filed: January 20, 2011
    Date of Patent: November 25, 2014
    Assignee: Toagosei Co., Ltd.
    Inventors: Takashi Ihara, Hiromu Taguchi
  • Patent number: 8895232
    Abstract: The dimensions of mask patterns, such as pitch-multiplied spacers, are controlled by controlled growth of features in the patterns after they are formed. A pattern of mandrels is formed overlying a semiconductor substrate. Spacers are then formed on sidewalls of the mandrels by depositing a blanket layer of material over the mandrels and preferentially removing spacer material from horizontal surfaces. The mandrels are selectively removed, leaving behind a pattern of freestanding spacers. The spacers comprise a material, such as polysilicon and amorphous silicon, known to increase in size upon being oxidized. The spacers are oxidized and grown to a desired width. The spacers can then be used as a mask to pattern underlying layers and the substrate. Advantageously, because the spacers are grown by oxidation, thinner blanket layers can be deposited over the mandrels, allowing the deposition of more conformal blanket layers and widening the process window for spacer formation.
    Type: Grant
    Filed: July 12, 2013
    Date of Patent: November 25, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Mirzafer K. Abatchev, Gurtej Sandhu
  • Patent number: 8883403
    Abstract: A method of fabricating a semiconductor integrated circuit (IC) is disclosed. The method includes providing a substrate having two different topography areas adjacent to each other. A step-forming material (SFM) is deposited over the substrate. A patterned SFM is formed in the low topography area of the two areas. The formation of the patterned SFM provides a fairly planar surface across over the substrate.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: November 11, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-Chang Chen, Shun-Shing Yang, Chuan-Ling Wu, Wang-Pen Mo, Hung-Chang Hsieh
  • Patent number: 8881353
    Abstract: Provided is a method of producing a piezoelectric/electrorestrictive film type device including a vibrating laminate obtained by laminating electrode films and piezoelectric/electrorestrictive films on a substrate containing a cavity. The method of producing the vibrating laminate includes: producing the substrate with a cavity, forming the first photoresist film on first principal surface of substrate, irradiating substrate from the second principal surface side of the substrate, transferring the plane shape of the cavity to the first photoresist film, developing and removing the first photoresist film formed in the region where the shape of cavity was formed, forming a lowermost electrode film by plating, and forming additional films other than the lowermost electrode film constituting the vibrating laminate.
    Type: Grant
    Filed: September 6, 2010
    Date of Patent: November 11, 2014
    Assignee: NGK Insulators, Ltd.
    Inventors: Hideki Shimizu, Mutsumi Kitagawa
  • Patent number: 8883395
    Abstract: The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: November 11, 2014
    Assignee: International Business Machines Corporation
    Inventors: Sen Liu, Pushkara R. Varanasi
  • Publication number: 20140329179
    Abstract: A method of forming a semiconductor device structure comprises forming a template material over a substrate, the template material exhibiting preferential wetting to a polymer block of a block copolymer. A positive tone photoresist material is formed over the template material. The positive tone photoresist material is exposed to radiation to form photoexposed regions and non-photoexposed regions of the positive tone photoresist material. The non-photoexposed regions of the positive tone photoresist material are removed with a negative tone developer to form a pattern of photoresist features. The pattern of photoresist features and unprotected portions of the template material are exposed to an oxidizing plasma to form trimmed photoresist features and a pattern of template features. The trimmed photoresist features are removed with a positive tone developer. Other methods of forming a semiconductor device structure, and a semiconductor device structure are also described.
    Type: Application
    Filed: May 2, 2013
    Publication date: November 6, 2014
    Applicant: Micron Technology, Inc.
    Inventors: Ranjan Khurana, Gurpreet S. Lugani, Dan B. Millward
  • Patent number: 8877425
    Abstract: A resist underlayer film forming composition for lithography includes: as a component (I), a fluorine-containing highly branched polymer obtained by polymerizing a monomer A having two or more radical polymerizable double bonds in the molecule thereof, a monomer B having a fluoroalkyl group and at least one radical polymerizable double bond in the molecule thereof, and a monomer D having a silicon atom-containing organic group and at least one radical polymerizable double bond in the molecule thereof, in the presence of a polymerization initiator C in a content of 5% by mole or more and 200% by mole or less, based on the total mole of the monomer A, the monomer B, and the monomer D; and as a component (II), a hydrolyzable silane compound, a hydrolysis product thereof, a hydrolysis-condensation product thereof, or a silicon-containing compound that is a combination of these compounds.
    Type: Grant
    Filed: October 20, 2011
    Date of Patent: November 4, 2014
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Yuta Kanno, Makoto Nakajima, Tomoko Misaki, Motonobu Matsuyama, Masayuki Haraguchi
  • Patent number: 8877430
    Abstract: Methods of forming microelectronic structures using multilayer processes are disclosed. The methods comprise the use of a developer-soluble protective layer adjacent the substrate surface in a multilayer stack to protect the substrate during pattern transfer. After etching, the pattern is transferred into the developer-soluble protective layer using a developer instead of etching required by previous methods. Conventional developer-soluble anti-reflective coatings and gap-fill materials can be used to form the protective layer. Custom layers with developer solubility can also be prepared. Microelectronic structures formed by the above processes are also disclosed.
    Type: Grant
    Filed: August 4, 2011
    Date of Patent: November 4, 2014
    Assignee: Brewer Science Inc.
    Inventors: Carlton Ashley Washburn, James E. Lamb, III, Brian A. Smith, Justin Lee Furse, Kang Le Wang
  • Patent number: 8871642
    Abstract: Provided is a method of forming a pattern, including (a) forming a chemically amplified resist composition into a film, (b) exposing the film to light, (c) developing the exposed film with a developer containing an organic solvent, and (d) rinsing the developed film with a rinse liquid containing an organic solvent, which rinse liquid has a specific gravity larger than that of the developer.
    Type: Grant
    Filed: August 26, 2011
    Date of Patent: October 28, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Yuichiro Enomoto, Shinji Tarutani, Sou Kamimura, Keita Kato, Kana Fujii
  • Patent number: 8871429
    Abstract: The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: October 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Sen Liu, Pushkara R. Varanasi
  • Patent number: 8857020
    Abstract: A method of forming an actuator includes depositing a photoimageable material to form a first photoimageable layer on a piezoelectric layer; patterning the first photoimageable layer to form an aperture; and disposing a first conductive layer on the first photoimageable layer. An actuator device formed by this method includes the photoimageable material. The first conductive layer partially overlies the first photoimageable layer such that a first portion of the first conductive layer contacts the first photoimageable layer and a second portion of the first conductive layer electrically contacts the piezoelectric layer in the aperture.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: October 14, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Jeffrey Birkmeyer, Darren T. Imai, Andreas Bibl, Zhenfang Chen
  • Patent number: 8852852
    Abstract: A method for manufacturing a narrow frame touch input sheet includes forming and stacking transparent conductive films, light-excluding conductive electrode films, and first resist layers sequentially on both surfaces of a transparent base sheet, exposing and developing the first resist layers simultaneously on the both surfaces, etching the transparent and light-excluding conductive electrode films on the both surfaces, and stripping the first resist layers to form a fine wiring circuit pattern with the stacked transparent conductive film and light-excluding conductive electrode film in an outer edge portion on each of the two surfaces. The method further includes forming a second resist layer to cover the fine wiring circuit pattern on each surface, and etching only the light-excluding electrode conductive film layer on which the second resist layer is not formed, to expose a circuit pattern of the transparent conductive film in a central window portion on each surface.
    Type: Grant
    Filed: September 3, 2013
    Date of Patent: October 7, 2014
    Assignee: Nissha Printing Co., Ltd.
    Inventors: Yoshihiro Sakata, Takao Hashimoto, Yoshihiro Kai, Yuji Morikawa
  • Patent number: 8846301
    Abstract: An orthogonal process for photolithographic patterning organic structures is disclosed. The disclosed process utilizes fluorinated solvents or supercritical CO2 as the solvent so that the performance of the organic conductors and semiconductors would not be adversely affected by other aggressive solvent. One disclosed method may also utilize a fluorinated photoresist together with the HFE solvent, but other fluorinated solvents can be used. In one embodiment, the fluorinated photoresist is a resorcinarene, but various fluorinated polymer photoresists and fluorinated molecular glass photoresists can be used as well. For example, a copolymer perfluorodecyl methacrylate (FDMA) and 2-nitrobenzyl methacrylate (NBMA) is a suitable orthogonal fluorinated photoresist for use with fluorinated solvents and supercritical carbon dioxide in a photolithography process.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: September 30, 2014
    Assignee: Cornell University
    Inventors: Christopher K. Ober, George Malliaras, Jin-Kyun Lee, Alexander Zakhidov, Margarita Chatzichristidi, Priscilla Taylor
  • Patent number: 8846304
    Abstract: A method of forming a pattern in a semiconductor device is described. A substrate divided into cell and peripheral regions is provided, and an object layer is formed on a substrate. A buffer pattern is formed on the object layer in the cell region along a first direction. A spacer is formed along a sidewall of the buffer pattern in the cell region, and a hard mask layer remains on the object layer in the peripheral region. The buffer layer is removed, and the spacer is separated along a second direction different from the first direction, thereby forming a cell hard mask pattern. A peripheral hard mask pattern is formed in the peripheral region. A minute pattern is formed using the cell and peripheral hard mask patterns in the substrate. Therefore, a line width variation or an edge line roughness due to the photolithography process is minimized.
    Type: Grant
    Filed: March 18, 2013
    Date of Patent: September 30, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Choong-Ryul Ryou, Hee-Sung Kang
  • Patent number: 8846292
    Abstract: A radiation-sensitive composition containing a resist compound having a high sensitivity, a high resolution, a high etching resistance, and a low outgas which forms a resist pattern with good shape and a method of forming a resist pattern and novel compositions for forming a photoresist under coat film which is excellent in optical properties and etching resistance and contains substantially no sublimable substance and an under coat film formed by the composition. Radiation-sensitive composition containing a solvent and a cyclic compound having, e.g., a cyclic compound (A) having a molecular weight of 700 to 5000 which is synthesized by the condensation reaction of a compound having 2 to 59 carbon atoms and 1 to 4 formyl groups with a compound having 6 to 15 carbon atoms and 1 to 3 phenolic hydroxyl groups, and a cyclic compound for use in the radiation-sensitive composition.
    Type: Grant
    Filed: December 29, 2011
    Date of Patent: September 30, 2014
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Masatoshi Echigo, Dai Oguro
  • Publication number: 20140272715
    Abstract: A method includes forming a first photo resist layer over a base structure and a target feature over the base structure, performing an un-patterned exposure on the first photo resist layer, and developing the first photo resist layer. After the step of developing, a corner portion of the first photo resist layer remains at a corner between a top surface of the base structure and an edge of the target feature. A second photo resist layer is formed over the target feature, the base structure, and the corner portion of the first photo resist layer. The second photo resist layer is exposed using a patterned lithography mask. The second photo resist layer is patterned to form a patterned photo resist.
    Type: Application
    Filed: November 5, 2013
    Publication date: September 18, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chun-Wei Chang, Hong-Da Lin, Chih-Chien Wang, Chun-Chang Chen, Wang-Pen Mo, Hung-Chang Hsieh
  • Publication number: 20140272677
    Abstract: A method for fabricating integrated circuits includes fabricating an EUV mask by providing a photomask having a border region. A photoresist is formed over the photomask and has a border region overlying the border region of the photomask. The method exposes an inner portion and an outer portion of the photoresist border region. The method removes the inner portion and the outer portion to expose the border region of the photomask. The border region of the photomask is etched using the photoresist as a mask to form the EUV mask with a non-reflective border. The photoresist is removed from the EUV mask. The method includes forming another photoresist over a partially-fabricated integrated circuit layer and patterning the photoresist by exposure to EUV light reflected from the EUV mask to expose portions of the partially-fabricated integrated circuit layer. Portions of the partially-fabricated integrated circuit layer and the photoresist are removed.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: GLOBALFOUNDRIES, INC.
    Inventors: Sudharshanan Raghunathan, Pawitter Mangat, Hui Peng Koh
  • Patent number: 8835092
    Abstract: There is disclosed a resist underlayer film composition of a multilayer resist film used in lithography including (A) a fullerene derivative having a carboxyl group protected by a thermally labile group and (B) an organic solvent. There can be a resist underlayer film composition of a multilayer resist film used in lithography for forming a resist underlayer in which generation of wiggling in substrate etching can be highly suppressed and the poisoning problem in forming an upper layer pattern using a chemically amplified resist can be avoided, a process for forming the resist underlayer film, a patterning process and a fullerene derivative.
    Type: Grant
    Filed: January 27, 2011
    Date of Patent: September 16, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takeru Watanabe, Takeshi Kinsho, Tsutomu Ogihara, Katsuya Takemura, Toshihiko Fujii, Daisuke Kori
  • Patent number: 8822137
    Abstract: An interconnect structure and methods for making the same include sidewall portions of an interlevel dielectric layer. The sidewall portions have a width less than a minimum feature size for a given lithographic technology and the width is formed by a thickness of the interlevel dielectric layer when conformally formed on vertical surfaces of a mandrel. The sidewall portions form spaced-apart openings. Conductive structures fill the spaced-apart openings and are separated by the sidewall portions to form single damascene structures.
    Type: Grant
    Filed: August 3, 2011
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Qinghuang Lin, Sanjay Mehta, Hosadurga Shobha
  • Patent number: 8815494
    Abstract: There is provided a method of making a semiconductor device utilizing a resist underlayer film forming composition comprising a silane compound containing an anion group, wherein the silane compound containing an anion group is a hydrolyzable organosilane in which an organic group containing an anion group is bonded to a silicon atom and the anion group forms a salt structure, a hydrolysis product thereof, or a hydrolysis-condensation product thereof. The anion group may be a carboxylic acid anion, a phenolate anion, a sulfonic acid anion, or a phosphonic acid anion. The hydrolyzable organosilane may be a compound of Formula (1): R1aR2bSi(R3)4?(a+b) (1).
    Type: Grant
    Filed: November 19, 2012
    Date of Patent: August 26, 2014
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Wataru Shibayama, Makoto Nakajima, Yuta Kanno
  • Patent number: 8815747
    Abstract: A method of forming a pattern on a substrate includes forming spaced features over a substrate. A polymer is adsorbed to outer lateral surfaces of the spaced features. Either material of the spaced features is removed selectively relative to the adsorbed polymer or material of the adsorbed polymer is removed selectively relative to the spaced features to form a pattern on the substrate. In one embodiment, the polymer is of known chain length and has opposing longitudinal ends. One of the longitudinal ends of the polymer adsorbs to the outer lateral surfaces whereby the adsorbed polymer projects lengthwise from the outer lateral surfaces, with said chain length defining a substantially uniform lateral thickness of the adsorbed polymer on the spaced features. Additional embodiments are contemplated.
    Type: Grant
    Filed: June 3, 2010
    Date of Patent: August 26, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Anton deVilliers, Scott Sills
  • Publication number: 20140234779
    Abstract: The invention involves a flexible circuit electrode array device comprising: a polymer layer; wherein the polymer layer includes one or more metal traces, an electrode array; one or more bond pads; and the electrode array is located on the opposite side of the polymer layer. The invention further involves a method for backside processing of a flexible circuit electrode device, comprising: applying polymer film on a substrate; processing the front side; releasing the polymer film from substrate; flipping over the polymer film and fixing it onto the substrate; processing the backside; and final releasing of the polymer film from the substrate. The invention further involves a method for backside processing of a flexible circuit electrode device, comprising: processing the front side without releasing the polymer; processing the backside by sacrificial substrate method, or by laser drilling method; and releasing the polymer film from the substrate.
    Type: Application
    Filed: April 15, 2014
    Publication date: August 21, 2014
    Inventors: Qingfang Yao, Jordan Matthew Neysmith, Neil Hamilton Talbot, James S. Little, Robert J. Greenberg
  • Patent number: 8808971
    Abstract: A method for forming fine patterns of a semiconductor device employs a double patterning characteristic using a mask for forming a first pattern including a line pattern and a mask for separating the line pattern, and a reflow characteristic of a photoresist pattern.
    Type: Grant
    Filed: May 15, 2013
    Date of Patent: August 19, 2014
    Assignee: SK hynix Inc.
    Inventor: Jae Seung Choi
  • Patent number: 8808970
    Abstract: To improve the manufacturing yield of semiconductor devices. Over a semiconductor wafer, a film to be processed is formed; over that film, an antireflection film is formed; and, over the antireflection film, a resist layer is formed. Then, the resist layer is subjected to liquid immersion exposure, and a development and rinsing process to form a resist pattern. After that, the antireflection film and the film to be processed are etched sequentially using the resist pattern as an etching mask. In the development process of the resist layer, the antireflection film is exposed from parts from which the resist layer has been removed by the development process. When performing a rinsing process after the development, the water repellent property of the surface of the antireflection film exposed from the resist layer is not lower than the water repellent property of the surface of the resist layer.
    Type: Grant
    Filed: August 21, 2012
    Date of Patent: August 19, 2014
    Assignee: Renesas Electronics Corporation
    Inventor: Takuya Hagiwara
  • Patent number: 8808808
    Abstract: The invention provides a method of applying an adhesion primer layer for an imprint lithography process that includes contacting a fluid with a surface of a substrate in a coating process and initiating a chemical reaction that forms a covalent bond between a component in the fluid and the surface of the substrate such that an adhesion primer layer is adhered to the surface of the substrate. A polymeric layer may be adhered to the surface of the substrate coated with the adhesion primer layer. The method allows adhesion primer coating for double-sided imprinting applications including patterned magnetic media.
    Type: Grant
    Filed: April 12, 2007
    Date of Patent: August 19, 2014
    Assignee: Molecular Imprints, Inc.
    Inventors: Frank Y. Xu, Sidlgata V. Sreenivasan, Edward Brian Fletcher
  • Patent number: 8802347
    Abstract: Coating compositions include a polymer including: wherein R1 is a silicon containing moiety, R2 is an acid stable lactone functionality, and R3 is an acid labile lactone functionality; X1, X2, X3 are independently H or CH3; and m and o are non-zero positive integers and n is zero or a positive integer representing the number of repeat units; a photoacid generator; and a solvent. Also disclosed are methods for forming a pattern in the coating composition containing the same.
    Type: Grant
    Filed: November 6, 2009
    Date of Patent: August 12, 2014
    Assignee: International Business Machines Corporation
    Inventors: Robert D. Allen, Phillip J. Brock, Kuang-Jung Chen, Alexander Friz, Wu-Song Huang, Ratnam Sooriyakumaran, Sally A. Swanson, Hoa D. Truong
  • Patent number: 8802566
    Abstract: A method for producing semiconductor components on a substrate including photolithographic patterning steps, in which method, on the substrate, a first layer to be patterned is applied and a second layer serving as a mask layer for the first layer to be patterned is applied, wherein a third layer serving as a mask for the second layer is applied, and wherein at least two photolithographic patterning processes are carried out successively for the second layer, wherein, during one of the patterning processes, after the production of a structure made from a photosensitive layer for the provision of a mask layer for a patterning process at the third layer, positive ramp angles ? are produced at the patterning edges of the third layer, as a result of which the structures remaining free, given a thickness h of the third layer, decrease in size by a value D=2*h/tan ?.
    Type: Grant
    Filed: August 24, 2012
    Date of Patent: August 12, 2014
    Assignee: Espros Photonics AG
    Inventors: Martin Popp, Beat De Coi, Marco Annese
  • Patent number: 8795951
    Abstract: A material for forming a resist sensitization film contains a metal salt, a resin and, a solvent. A method for producing a semiconductor device contains applying such material (or a resist) onto a processing surface so as to form a resist sensitization film or a resist film, applying a resist (or the aforementioned material) onto the resist sensitization film so as to form a resist film (or a resist sensitization film); exposing the resist film (or the resist film and the resist sensitization film) to exposure light, and developing the exposed resist film (or the exposed resist film and resist sensitization film) so as to form a resist pattern; and etching the processing surface using the resist pattern as a mask so as to pattern the processing surface.
    Type: Grant
    Filed: December 14, 2010
    Date of Patent: August 5, 2014
    Assignee: Fujitsu Limited
    Inventor: Junichi Kon
  • Patent number: 8795949
    Abstract: To provide a resist pattern improving material, containing: a compound represented by the following general formula (1), or a compound represented by the following general formula (2), or both thereof; and water: where R1 and R2 are each independently a hydrogen atom, or a C1-C3 alkyl group; m is an integer of 1 to 3; and n is an integer of 3 to 30, where p is an integer of 8 to 20; q is an integer of 3 to 30; and r is an integer of 1 to 8.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: August 5, 2014
    Assignee: Fujitsu Limited
    Inventors: Miwa Kozawa, Koji Nozaki
  • Patent number: 8790859
    Abstract: The present invention relates to a photoresist composition for digital exposure and a method of fabricating a thin film transistor substrate. The photoresist composition for digital exposure includes a binder resin including a novolak resin and a compound represented by the chemical formula (1), a photosensitizer including a diazide-based compound, and a solvent: wherein R1-R9 each include a hydrogen atom, an alkyl group, or a benzyl group, a is an integer from 0 to 10, b is an integer from 0 to 100, and c is an integer from 0 to 10.
    Type: Grant
    Filed: November 18, 2009
    Date of Patent: July 29, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Sang-Hyun Yun, Woo-Seok Jeon, Jung-In Park, Hi-Kuk Lee, Byung-Uk Kim, Dong-Min Kim, Seung-Ki Kim, Ja-Hun Byeon
  • Patent number: 8778598
    Abstract: A method of forming fine patterns of a semiconductor device according to a double patterning process that uses acid diffusion is provided. In this method, a plurality of first mask patterns are formed on a substrate. A capping film including an acid source is formed on the exposed surface areas of the plurality of first mask patterns. A second mask layer is formed on the capping films. A plurality of acid diffused regions are formed within the second mask layer by diffusing acid obtained from the acid source from the capping films into the second mask layer. A plurality of second mask patterns are formed of residual parts of the second mask layer which remain after removing the acid diffused regions of the second mask layer.
    Type: Grant
    Filed: December 12, 2012
    Date of Patent: July 15, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yool Kang, Suk-joo Lee, Jung-hyeon Lee, Shi-yong Yi
  • Patent number: 8778573
    Abstract: The present invention provides a manufacturing method of transparent electrode and mask thereof. The method includes: forming a film on a glass substrate, and coating photo-resist on film; irradiating photo-resist through mask, wherein the mask at corresponding active area of liquid crystal panel forming, from outer area to inner area, at least a first area and a second area, gap of pattern corresponding to transparent electrode in first area being first gap, gap of pattern in second area being second gap, first gap being greater than corresponding default gap, difference between first gap and corresponding default gap being greater than difference between second gap and corresponding default gap: and performing photolithography and etching processes on substrate after exposure to form transparent electrodes on substrate. As such, the present invention can reduce gap errors of formed transparent electrodes in entire active area to improve display effect.
    Type: Grant
    Filed: October 9, 2012
    Date of Patent: July 15, 2014
    Assignee: Shenzhen China Star Optoelectronics Technology co., Ltd.
    Inventors: Cheng-hung Chen, Zui Wang
  • Patent number: 8771927
    Abstract: New compositions and methods of using those compositions as protective layers during the production of semiconductor and MEMS devices are provided. The compositions comprise a cycloolefin copolymer dispersed or dissolved in a solvent system, and can be used to form layers that protect a substrate during acid etching and other processing and handling. The protective layer can be photosensitive or non-photosensitive, and can be used with or without a primer layer beneath the protective layer. Preferred primer layers comprise a basic polymer in a solvent system.
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: July 8, 2014
    Assignee: Brewer Science Inc.
    Inventors: Tingji Tang, Gu Xu, Xing-Fu Zhong, Wenbin Hong, Tony D. Flaim, Kimberly Yess, Ramachandran K. Trichur
  • Patent number: 8765612
    Abstract: A double patterning process is described. A substrate having a first area and a second area is provided. A target layer is formed over the substrate. A patterned first photoresist layer is formed over the target layer, wherein the patterned first photoresist layer has openings and has a first thickness in the first area, and at least a portion of the patterned first photoresist layer in the second area has a second thickness less than the first thickness. A second photoresist layer is then formed covering the patterned first photoresist layer and filling in the openings.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: July 1, 2014
    Assignee: Nanya Technology Corporation
    Inventors: Jenn-Wei Lee, Hung-Jen Liu
  • Patent number: 8758981
    Abstract: A photoresist underlayer composition includes a solvent, and a polysiloxane resin represented by Chemical Formula 1: {(SiO1.5—Y—SiO1.5)x(SiO2)y(XSiO1.5)z}(OH)e(OR1)f.
    Type: Grant
    Filed: July 2, 2012
    Date of Patent: June 24, 2014
    Assignee: Cheil Industries, Inc.
    Inventors: Mi-Young Kim, Sang-Kyun Kim, Hyeon-Mo Cho, Chang-Soo Woo, Sang-Ran Koh, Hui-Chan Yun, Woo-Jin Lee, Jong-Seob Kim
  • Patent number: 8748077
    Abstract: To provide a resist pattern improving material, containing: water; and benzalkonium chloride represented by the following general formula (1): where n is an integer of 8 to 18.
    Type: Grant
    Filed: January 26, 2012
    Date of Patent: June 10, 2014
    Assignee: Fujitsu Limited
    Inventors: Koji Nozaki, Miwa Kozawa
  • Patent number: 8748083
    Abstract: A method for forming wires with a narrow spacing is provided. The method includes the steps of: sequentially forming a first metal layer and a protective layer on a substrate; using a first photomask to pattern the first metal layer and the protective layer, so as to form a first metal line and a patterned protective layer thereon; forming a second metal layer on the substrate and the patterned protective layer; using a second photomask to pattern the second metal layer, so as to form a second metal line adjacent to the first metal line; and removing the patterned protective layer on the first metal line. According to the method, the wires can be located at the same layer with a narrow spacing, thereby avoiding a problem that the wires are easily broken.
    Type: Grant
    Filed: January 9, 2013
    Date of Patent: June 10, 2014
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventor: Han-tung Hsu
  • Patent number: 8741545
    Abstract: The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: June 3, 2014
    Assignee: International Business Machines Corporation
    Inventors: Sen Liu, Pushkara R. Varanasi
  • Patent number: 8726485
    Abstract: A housing for an electronic device includes a base and a pattern layer formed on the base. The pattern layer includes a blasting area and peripheral area, the blasting area has rough surface formed by sandblasting, the blasting area is a pattern section and has a width of at least 70 micrometer; the peripheral area has a slippery surface a peripheral section of the pattern section. The disclosure also described a method to make the housing.
    Type: Grant
    Filed: April 19, 2011
    Date of Patent: May 20, 2014
    Assignees: Shenzhen Futaihong Precision Industry Co., Ltd., FIH (Hong Kong) Limited
    Inventors: Zhu-Sha Weng, Yong-Gang Zhu
  • Patent number: 8728710
    Abstract: Disclosed is a method of making polysiloxane and polysilsesquioxane based hardmask respond to radiations with positive tone and negative tone simultaneously. Unradiated films are insoluble in developers, showing positivity tone. Radiated films are insoluble in developers as well, showing negative tone. Only half-way radiated films are soluble in developers. The dual-tone photo-imageable hardmask produces splitted patterns. Compositions of dual-tone photo-imageable hardmask based on the chemistry of polysiloxane and polysilsesquioxanes are disclosed as well. Further disclosed are processes of using photo-imageable hardmasks to create precursor structures on semiconductor substrates with or without an intermediate layer.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: May 20, 2014
    Inventor: Sam Xunyun Sun
  • Patent number: 8728714
    Abstract: Methods for adhering materials and methods for enhancing adhesion between materials are disclosed. In some embodiments, a polymer brush material is bonded to a base material, and a developable polymer resist material is applied over the grafted polymer brush material. The resist material is at least partially miscible in the grafted polymer brush material. As such, the resist material at least partially dissolves within the grafted polymer brush material to form an intertwined material of grafted polymer brush macromolecules and resist polymer macromolecules. Adhesion between the developable polymer resist and the base material may be thereby enhanced. Also disclosed are related semiconductor device structures.
    Type: Grant
    Filed: November 17, 2011
    Date of Patent: May 20, 2014
    Assignee: Micron Technology, Inc.
    Inventor: Dan B. Millward
  • Patent number: 8721905
    Abstract: A method for forming a minute pattern mask includes forming an etching target layer on a substrate. A convex pattern including a plurality of convex parts is formed on the etching target layer. A resin composition is coated on the convex pattern to form a resin layer including a first region neighboring the convex part and a second region positioned between the neighboring convex parts. The resin layer is ashed or etched to form the plurality of first resin patterns. The plurality of first resin patterns is processed to form a minute pattern mask including a plurality of second resin patterns. The etching target layer is etched using the plurality of second resin patterns as an etch mask to form a minute pattern.
    Type: Grant
    Filed: March 27, 2012
    Date of Patent: May 13, 2014
    Assignees: Samsung Display Co., Ltd., SNU R & DB Fountdation
    Inventors: Se-Hwan Yu, Ji Seon Lee, Yoon Ho Khang, Kahp Yang Suh, Hyoung Sick Um, Jae Jun Chae, Sung Hun Lee
  • Patent number: 8722312
    Abstract: The present invention discloses a method for fabricating a semiconductor nano circular ring. In the method, firstly, a positive photoresist is coated on a semiconductor substrate, then the photoresist is exposed by using a circular mask with a micrometer-sized diameter to obtain the circular ring-shaped photoresist, based on the poisson diffraction principle. Then, a plasma etching is performed on the substrate under a protection of the circular ring-shaped photoresist to form a circular ring-shaped structure with a nano-sized wall thickness on a surface of the substrate. The embodiment of present invention fabricates a nano-sized circular ring-shaped structure by using a micrometer-sized lithography equipment and a micrometer-sized circular mask, and overcomes the dependence on advanced technologies, so as to effectively reduce the fabrication cost of the circular ring-shaped nano structure.
    Type: Grant
    Filed: September 9, 2011
    Date of Patent: May 13, 2014
    Assignee: Peking University
    Inventors: Ru Huang, Yujie Al, Zhihua Hao, Shuangshuang Pu, Jiewen Fan, Shuai Sun, Runsheng Wang, Xia An
  • Patent number: 8722313
    Abstract: A method of manufacturing a touch screen panel includes a first process, a second process, and a third process. Each of a plurality of first electrode serials includes a plurality of first electrode patterns which are separated from each other, neighboring first electrode patterns are electrically connected to each other via a first connection pattern, and a first insulation pattern electrically insulates the first electrode serial from the second electrode serial at an intersection of the first electrode serial and the second electrode serial.
    Type: Grant
    Filed: December 3, 2010
    Date of Patent: May 13, 2014
    Assignee: LG Display Co., Ltd.
    Inventor: Seungmok Shin
  • Patent number: 8715912
    Abstract: In one disclosed embodiment, a method for producing a high resolution resist pattern on a semiconductor wafer comprises depositing a blanket layer of material on a semiconductor wafer, forming a resist interaction substrate on the blanket layer of material, forming a resist layer of a pre-determined thickness on the resist interaction substrate, exposing the resist layer to a patterned radiation, and developing the resulting high resolution resist pattern. In one embodiment, patterned radiation is provided by an extreme ultraviolet (EUV) light source. In other embodiments, patterned radiation may be provided by an electron beam, or ion beam, for example. In one embodiment, the resist layer comprises a chemically amplified resist utilizing a photogenerated acid (PGA), and having a sublayer. In other embodiments, the resist layer includes an additive, for example, fullerite. One disclosed embodiment involves use of an ultra-thin resist layer in combination with a gold resist interaction substrate.
    Type: Grant
    Filed: May 21, 2007
    Date of Patent: May 6, 2014
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Uzodinma Okoroanyanwu, Thomas Wallow