Pattern Elevated In Radiation Unexposed Areas Patents (Class 430/326)
  • Patent number: 8900789
    Abstract: An actinic-ray- or radiation-sensitive resin composition comprises (A) a resin that when acted on by an acid, exhibits an increased solubility in an alkali developer, (B) a compound that when exposed to actinic rays or radiation, generates an acid, (C) a resin containing two or more resins (c) each having at least either a fluorine atom or a silicon atom, and (D) a solvent.
    Type: Grant
    Filed: July 13, 2009
    Date of Patent: December 2, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Hiroshi Saegusa, Shinichi Kanna
  • Patent number: 8900791
    Abstract: An actinic ray-sensitive or radiation-sensitive resin composition includes any of the compounds of general formula (I) below; wherein: Ar represents an aromatic ring that may have a substituent other than the -(A-B) groups; n is an integer of 1 or greater; A represents any one, or a combination of two or more members selected from a single bond, an alkylene group, —O—, —S—, —C(?O)—, —S(?O)—, —S(?O)2— and —OS(?O)2—, provided that —C(?O)O— is excluded; B represents a group containing a hydrocarbon group having 4 or more carbon atoms wherein either a tertiary or a quaternary carbon atom is contained, when n is 2 or greater, the two or more -(A-B) groups may be identical to or different from each other; and M+ represents an organic onium ion.
    Type: Grant
    Filed: March 24, 2011
    Date of Patent: December 2, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Tomotaka Tsuchimura, Koji Shirakawa, Toru Tsuchihashi, Hideaki Tsubaki
  • Patent number: 8900796
    Abstract: The present invention provides an acid generator generates a sulfonic acid represented by the following general formula (1) in response to high-energy beam or heat: To provide a novel acid generator which is suitably used as an acid generator for a resist composition, which solves the problems of LER and a depth of focus and can be effectively and widely used particularly without degradation of a resolution, a chemically amplified resist composition using the same, and a patterning process.
    Type: Grant
    Filed: February 15, 2013
    Date of Patent: December 2, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masaki Ohashi, Tomohiro Kobayashi, Masayoshi Sagehashi
  • Patent number: 8900788
    Abstract: A resist composition for immersion exposure, including: a base component (A) which exhibits changed solubility in an alkali developing solution under action of an acid, and contains no structural unit (c1) represented by the general formula (c1-1) shown below; an acid generator component (B) which generates an acid upon exposure; and a fluorine-containing resin component (C) which contains the structural unit (c1) (in the formula (c1-1), R represents a hydrogen atom, a lower alkyl group, a halogen atom, or a halogenated lower alkyl group; Rf represents a fluorinated alkyl group; and Y0 represents an alkylene group).
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: December 2, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshiyuki Utsumi, Yasuhiro Yoshii
  • Patent number: 8895229
    Abstract: A composition for formation of upper layer film, which is used for forming an upper layer film on the surface of a photoresist film and which comprises a resin (A) having a repeating unit represented by the following general formula (1-1) and not having a repeating unit represented by the following general formula (1-2), and a resin (B) having a repeating unit represented by the following general formula (1-2) and not having a repeating unit represented by the following general formula (1-1). [In the general formulas (1-1) and (1-2), R1 is hydrogen or the like; R2 is single bonds or the like; and R3 is a fluorine-substituted, linear or branched alkyl group having 1 to 12 carbon atoms, or the like.] The composition can form an upper layer film giving a sufficiently high receded contact angle.
    Type: Grant
    Filed: October 11, 2007
    Date of Patent: November 25, 2014
    Assignee: JSR Corporation
    Inventors: Yukio Nishimura, Norihiko Sugie, Hiromitsu Nakashima, Norihiro Natsume, Daita Kouno
  • Patent number: 8889344
    Abstract: In one aspect, coating compositions are provided that comprise a component a component that comprises one or more silicon, antimony, aluminum, yttrium, cerium, lanthanum, tin, titanium, zirconium, hafnium, indium or zinc compounds. In another aspect, coating compositions are provided that comprise a plurality of discrete particles. Preferred coating compositions of the invention are useful for antireflective purposes, particularly with an underlaying photoresist coating layer, as well as for a barrier layer in immersion lithography.
    Type: Grant
    Filed: May 4, 2006
    Date of Patent: November 18, 2014
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Gregory P. Prokopowicz, Michael K. Gallagher
  • Patent number: 8889333
    Abstract: A salt represented by formula (I): wherein Q1 and Q2 independently each represent a fluorine atom or a C1-C6 perfluoroalkyl group, L1 represents a C1-C17 divalent saturated hydrocarbon group in which a methylene group may be replaced by an oxygen atom or a carbonyl group, L2 and L3 respectively represent a single bond or a C1-C6 divalent saturated alkyl group in which a methylene group may be replaced by an oxygen atom or a carbonyl group, ring W1 and ring W2 respectively represent a C3-C36 hydrocarbon ring, R1 and R2 respectively represent a hydrogen atom or C1-C6 alkyl group, R3 represents C1-C6 alkyl group, t represents an integer of 0 to 2 and Z+ represents an organic counter ion.
    Type: Grant
    Filed: April 10, 2012
    Date of Patent: November 18, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Isao Yoshida, Yuko Yamashita
  • Patent number: 8883398
    Abstract: The present application relates to a photoactive compound including an oxime ester group and a phosphonate group together, and a photosensitive resin composition comprising the same, the compound of the present application having excellent storage stability and high-temperature process characteristics.
    Type: Grant
    Filed: May 22, 2013
    Date of Patent: November 11, 2014
    Assignee: LG Chem, Ltd.
    Inventors: Changho Cho, Sunghyun Kim, Han Soo Kim, Sunhwa Kim, Raisa Kharbash, Jongho Park
  • Patent number: 8883407
    Abstract: In one aspect, organic coating compositions, particularly antireflective coating compositions, are provided that comprise a diene/dienophile reaction product. In another aspect, organic coating compositions, particularly antireflective coating compositions, are provided that comprise a component comprising a hydroxyl-naphthoic group, such as a 6-hydroxy-2-naphthoic group Preferred compositions of the invention are useful to reduce reflection of exposing radiation from a substrate back into an overcoated photoresist layer and/or function as a planarizing, conformal or via-fill layer.
    Type: Grant
    Filed: June 10, 2010
    Date of Patent: November 11, 2014
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: John P. Amara, James F. Cameron, Jin Wuk Sung, Gregory P. Prokopowicz
  • Patent number: 8883394
    Abstract: The present invention provides a resist composition giving a resist pattern excellent in CD uniformity and focus margin. A chemically amplified photoresist composition comprises a resin (A) and an acid generator (B), and the resin (A) contains, as a part or an entirety thereof, a copolymer (A1) which is obtained by polymerizing at least: a (meth)acrylic monomer (a1) having C5-20 alicyclic hydrocarbon group which becomes soluble in an aqueous alkali solution by the action of an acid; a (meth)acrylic monomer (a2) having a hydroxy group-containing adamantyl group; and a (meth)acrylic monomer (a3) having a lactone ring, and the copolymer (A1) has a weight-average molecular weight of 2500 or more and 5000 or less, and a content of the copolymer (A1) is not less than 50 parts by mass with respect to 100 parts by mass of the resin (A).
    Type: Grant
    Filed: June 23, 2010
    Date of Patent: November 11, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Takashi Hiraoka
  • Patent number: 8883379
    Abstract: A pattern is printed by forming a photoresist layer on a wafer, forming a protective film thereon, exposure, and development. The protective film is formed from a protective film-forming composition comprising a novolak resin of a bisphenol compound and a mixture of an alcohol solvent and an ether or aromatic solvent.
    Type: Grant
    Filed: December 6, 2012
    Date of Patent: November 11, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Daisuke Kori
  • Patent number: 8883397
    Abstract: A positive photosensitive siloxane composition containing: a polysiloxane (Ia), which is obtained by hydrolyzing and condensing the silane compound represented by RSi(OR1)3 in general formula (1) and the silane compound represented by Si(OR1)4 in general formula (2) in the presence of a basic catalyst, and a pre-baked film of which has a dissolution rate of 1,000 ?/second or less in a 5 wt % TMAH aqueous solution; a polysiloxane (Ib), which is obtained by hydrolyzing and condensing at least the silane compound represented by general formula (1) in the presence of an acid or basic catalyst, and a pre-baked film of which has a dissolution rate of 100 ?/second or more in a 2.38 wt % TMAH aqueous solution; and a diazonaphthoquinone derivative and solvent.
    Type: Grant
    Filed: August 19, 2011
    Date of Patent: November 11, 2014
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Daishi Yokoyama, Takashi Fuke, Yuji Tashiro, Takashi Sekito, Toshiaki Nonaka
  • Patent number: 8883400
    Abstract: Topcoat layer compositions are provided that are applied above a photoresist composition. The compositions find particular applicability to immersion lithography processing.
    Type: Grant
    Filed: July 13, 2012
    Date of Patent: November 11, 2014
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Deyan Wang, Chunyi Wu
  • Patent number: 8877424
    Abstract: A polymer is prepared from an adamantane methacrylate monomer whose alcoholic hydroxyl group is protected with an alicyclic-containing tertiary alkyl group. A photoresist composition comprising the polymer displays a high sensitivity and a high dissolution contrast during both alkaline development and organic solvent development.
    Type: Grant
    Filed: February 8, 2013
    Date of Patent: November 4, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masayoshi Sagehashi, Jun Hatakeyama, Koji Hasegawa, Kazuhiro Katayama
  • Patent number: 8877429
    Abstract: A resist pattern-insolubilizing resin composition is used in a resist pattern-forming method. The resist pattern-insolubilizing resin composition includes solvent and a resin. The resin includes a first repeating unit that includes a hydroxyl group in its side chain and at least one of a second repeating unit derived from a monomer shown by a following formula (1-1) and a third repeating unit derived from a monomer shown by a following formula (1-2), wherein for example, R1 represents a hydrogen atom, A represents a methylene group, R2 represents a group shown by a following formula (2-1) or a group shown by a following formula (2-2), R3 represents a methylene group, R4 represents a hydrogen atom, and n is 0 or 1, wherein each of R34 represents at least one of a hydrogen atom and a linear or branched alkyl group having 1 to 10 carbon atoms.
    Type: Grant
    Filed: January 12, 2011
    Date of Patent: November 4, 2014
    Assignee: JSR Corporation
    Inventors: Gouji Wakamatsu, Masafumi Hori, Kouichi Fujiwara, Makoto Sugiura
  • Patent number: 8877425
    Abstract: A resist underlayer film forming composition for lithography includes: as a component (I), a fluorine-containing highly branched polymer obtained by polymerizing a monomer A having two or more radical polymerizable double bonds in the molecule thereof, a monomer B having a fluoroalkyl group and at least one radical polymerizable double bond in the molecule thereof, and a monomer D having a silicon atom-containing organic group and at least one radical polymerizable double bond in the molecule thereof, in the presence of a polymerization initiator C in a content of 5% by mole or more and 200% by mole or less, based on the total mole of the monomer A, the monomer B, and the monomer D; and as a component (II), a hydrolyzable silane compound, a hydrolysis product thereof, a hydrolysis-condensation product thereof, or a silicon-containing compound that is a combination of these compounds.
    Type: Grant
    Filed: October 20, 2011
    Date of Patent: November 4, 2014
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Yuta Kanno, Makoto Nakajima, Tomoko Misaki, Motonobu Matsuyama, Masayuki Haraguchi
  • Patent number: 8877421
    Abstract: A positive resist composition includes: (A) a resin capable of increasing the solubility in an alkali developing solution by the action of an acid, including: (a1) a repeating unit selected from repeating units represented by following formulae (a1-1) to (a1-3); (a2) a repeating unit represented by a following formula (a2); and (a3) a repeating unit selected from repeating units represented by following formulae (a3-1) to (a3-4); (B) a compound capable of generating an acid upon irradiation with actinic ray or radiation; (C) a resin having at least one of a fluorine atom and a silicon atom, and being insoluble in an alkali developing solution; and (D) a solvent, wherein the formulae above are defined in the specification.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: November 4, 2014
    Assignee: FUJIFILM Corporation
    Inventor: Shinichi Kanna
  • Patent number: 8877641
    Abstract: A method for mitigating line-edge roughness on a semiconductor device. The method includes line-edge roughness mitigation techniques in accordance with embodiments of the present invention. The techniques include: reducing the SiON film thickness below a conventional thickness; increasing the photoresist thickness above a conventional thickness; etching the SiON film with an etch bias power less than a conventional wattage amount with an overetch percentage less than a conventional overetch percentage; removing the SiON film layer immediately after completion of the amorphous carbon film layer etching; and lowering the lower electrode temperature below a conventional temperature.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: November 4, 2014
    Assignee: Spansion LLC
    Inventor: Calvin T Gabriel
  • Patent number: 8871422
    Abstract: A negative-type photosensitive resin composition which is good in sensitivity and resolution, a pattern forming method by the use thereof wherein a pattern which can be developed in an alkali aqueous solution, is excellent in sensitivity, resolution and heat resistance and has a good shape is obtained, and highly reliable electronic parts are provided. The negative-type photosensitive rein composition includes (a) a polymer that has a phenolic hydroxyl group at a terminal and is soluble in the alkali aqueous solution, (b) a compound that generates an acid by irradiating active light, and (c) a compound that can be crosslinked or polymerized by an action of the acid.
    Type: Grant
    Filed: June 20, 2006
    Date of Patent: October 28, 2014
    Assignee: Hitachi Chemical DuPont Microsystems Ltd.
    Inventor: Tomonori Minegishi
  • Patent number: 8871429
    Abstract: The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: October 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Sen Liu, Pushkara R. Varanasi
  • Patent number: 8859170
    Abstract: Disclosed are: a photosensitive modified polyimide resin composition having photo-fabrication property, which is excellent in the electric properties and adhesion as well as in the heat resistance, flexibility, bending property, low warping, chemical resistance and storage stability; a resin film formed from the composition; and a printed circuit board, flexible printed circuit board (FPC) and the like which comprises the film as an insulating protective film and/or interlayer insulation film. The photosensitive modified polyimide resin composition comprises a modified polyimide of a specific structure having a flexible structure such as polycarbonate; a photosensitizer; a curing agent; and a solvent.
    Type: Grant
    Filed: April 30, 2010
    Date of Patent: October 14, 2014
    Assignee: PI R&D Co., Ltd.
    Inventors: Toshiyuki Goshima, Maw Soe Win, Sigemasa Segawa, Eika Kyo
  • Patent number: 8859186
    Abstract: A polyimide precursor and a polyimide precursor resin composition, the polyimide precursor having repeating units represented by formula (1) and a photosensitive resin composition comprising the polyimide precursor and a photoacid generator or photobase generator: where R1 is a tetravalent organic group; R2 is a divalent organic group; R1s may be the same or different from each other and R2s may be the same or different from each other in the repeating units; R3 and R4 respectively represent a monovalent organic group having a structure represented by formula (2) and may be the same or different from each other; and R3s and R4s in the repeating units may be the same or different from each other, respectively. R5, R6, R7 and R8 are as described in the specification.
    Type: Grant
    Filed: July 25, 2011
    Date of Patent: October 14, 2014
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventor: Katsuya Sakayori
  • Patent number: 8859187
    Abstract: A novel method of forming a resist pattern in which thickness loss from the resist pattern is reduced, and a negative resist composition that can be used in this method of forming a resist pattern. The method of forming a resist pattern includes: forming a first resist film by applying a first resist composition to a support, forming a first resist pattern by selectively exposing the first resist film through a first mask pattern and then developing the first resist film, forming a second resist film by applying a negative resist composition containing an ether-based organic solvent (S?) having no hydroxyl groups onto the support having the first resist pattern formed thereon, and forming a resist pattern by selectively exposing the second resist film through a second mask pattern and then developing the second resist film.
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: October 14, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Ken Tanaka, Sho Abe, Shigeru Yokoi
  • Patent number: 8852846
    Abstract: The present invention provides a salt represented by the formula (I): wherein Q1 and Q2 independently each represent a fluorine atom or a C1-C6 perfluoroalkyl group, L1 represents a C1-C20 divalent saturated hydrocarbon group in which one or more —CH2— can be replaced by —O— or —CO—, W represents a group represented by the formula (W1), (W2), (W3), (W4) or (W5): and Z+ represents an organic counter ion.
    Type: Grant
    Filed: April 4, 2012
    Date of Patent: October 7, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Yukako Anryu, Koji Ichikawa
  • Patent number: 8852855
    Abstract: [Object] To provide a top anti-reflection coating composition equal or superior to known products in film-formability, in refractive index, in temporal stability and in safety; and also to provide a pattern formation method using the same. [Means] A top anti-reflection coating composition comprising a solvent, an alkylsulfonic acid having 10 to 18 carbon atoms, and a fluorine-containing polymer having a weight average molecular weight of 300000 to 800000 and represented by the formula (1): -Ax-By- (1). In the formula (1), A is a repeating unit represented by the formula (A): (R is a fluorine-containing alkylene group having 1 to 40 carbon atoms or R is a fluorine-containing alkylene group having 2 to 100 carbon atoms and an ether bond); B is a repeating unit capable of combining with A to form a copolymer; x and y are numbers indicating the polymerization ratios, provided that x is not equal to 0; and A and B may randomly combine with each other or may form blocks.
    Type: Grant
    Filed: April 11, 2012
    Date of Patent: October 7, 2014
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Takayuki Sao, Tomohide Katayama
  • Patent number: 8852845
    Abstract: Provided is a actinic ray-sensitive or radiation-sensitive resin composition including (P) a resin containing (A) a repeating unit having an ionic structure moiety that contains a cation represented by formula (Ia) and is capable of producing an acid anion on the side chain upon irradiation with an actinic ray or radiation: wherein each of R1a to R13a independently represents a hydrogen atom or a monovalent substituent and may combine together to form a ring, and Z represents a single bond or a divalent linking group.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: October 7, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Hidenori Takahashi, Tomotaka Tsuchimura, Toru Tsuchihashi, Katsuhiro Yamashita, Hideaki Tsubaki
  • Patent number: 8846303
    Abstract: There is disclosed a resist top coat composition, used in a patterning process onto a photoresist film, wherein a resist top coat is formed by using the resist top coat composition onto a photoresist film formed on a wafer, and then, after exposure, removal of the resist top coat and development of the photoresist film are performed to effect the patterning on the photoresist film, wherein the resist top coat composition contains a truxene compound having phenol groups shown by the following general formula (1). As a result, there is provided a resist top coat composition not only having an effect from an environment to a resist film reduced and effectively shielding an OOB light, but also reducing film loss of a resist pattern and bridging between patterns and having an effect to enhance sensitivity of the resist; and a patterning process using this.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: September 30, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Daisuke Kori
  • Patent number: 8846292
    Abstract: A radiation-sensitive composition containing a resist compound having a high sensitivity, a high resolution, a high etching resistance, and a low outgas which forms a resist pattern with good shape and a method of forming a resist pattern and novel compositions for forming a photoresist under coat film which is excellent in optical properties and etching resistance and contains substantially no sublimable substance and an under coat film formed by the composition. Radiation-sensitive composition containing a solvent and a cyclic compound having, e.g., a cyclic compound (A) having a molecular weight of 700 to 5000 which is synthesized by the condensation reaction of a compound having 2 to 59 carbon atoms and 1 to 4 formyl groups with a compound having 6 to 15 carbon atoms and 1 to 3 phenolic hydroxyl groups, and a cyclic compound for use in the radiation-sensitive composition.
    Type: Grant
    Filed: December 29, 2011
    Date of Patent: September 30, 2014
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Masatoshi Echigo, Dai Oguro
  • Patent number: 8846291
    Abstract: A resist composition including: a base component (A) which exhibits changed solubility in a developing solution under action of acid; a nitrogen-containing organic compound component (C) containing a compound (C1) represented by general formula (c1) shown below; and an acid generator component (B) which generates acid upon exposure, provided that the compound (C1) is excluded from the acid generator component (B): wherein RN represents a nitrogen-containing heterocyclic group which may have a substituent; X0 represents a linear or branched divalent aliphatic hydrocarbon group of 1 to 10 carbon atoms, a cyclic divalent aliphatic hydrocarbon group of 3 to 20 carbon atoms or a divalent aliphatic hydrocarbon group of 3 to 20 carbon having a cyclic partial structure, or any one of these groups in which some or all of the hydrogen atoms thereof have been substituted with fluorine atoms; and M+ represents an organic cation.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: September 30, 2014
    Assignee: Tokyo Ohka Kogyo Co. Ltd.
    Inventors: Yoshiyuki Utsumi, Kenichiro Miyashita, Akiya Kawaue
  • Patent number: 8846294
    Abstract: The present invention provides a photoresist composition comprising the following components (A), (B) and (X): (A) a resin being insoluble or poorly soluble in an aqueous alkali solution but becoming soluble in an aqueous alkali solution by the action of an acid, (B) an acid generator, (X) at least one compound selected from the group consisting of a compound represented by the formula (I-a): wherein Z1 represent a C1-C20 divalent saturated aliphatic hydrocarbon group in which one or more —CH2— may be replaced by —O— or —CO—, and a compound represented by the formula (I-b): wherein R1 represents a C1-C20 monovalent saturated aliphatic hydrocarbon group in which one or more hydrogen atoms may be substituted with a hydroxyl group and one or more —CH2— may be replaced by —O— or —CO—, and n represents 0 or 1.
    Type: Grant
    Filed: March 29, 2012
    Date of Patent: September 30, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Yukako Anryu, Satoshi Yamaguchi
  • Patent number: 8846293
    Abstract: The actinic ray-sensitive or radiation-sensitive resin composition of the present invention contains (A) a resin capable of increasing the solubility in an alkaline developer by the action of an acid, and (C) at least one selected from the group of compounds represented by the following formula (ZI-3), (ZI-4) or (ZI-5) and capable of generating an acid upon irradiation of actinic rays or radiation, wherein the resin (A) contains at least one repeating unit having a group capable of decomposing by the action of an acid to leave a leaving group having a ring structure, and the leaving group having a ring structure has at least one of a polar group as a substituent and a polar atom as a part of the ring structure, and a compound derived from the leaving group having a ring structure has a logP value of not less than 0 and less than 2.8.
    Type: Grant
    Filed: March 27, 2012
    Date of Patent: September 30, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Yusuke Iizuka, Akinori Shibuya, Naohiro Tango, Shohei Kataoka
  • Publication number: 20140287359
    Abstract: According to the present invention, there is provided a fluorine-containing sulfonate resin having a repeating unit of the following general formula (3). In order to prevent deficiency such as roughness after pattern formation or failure in pattern formation, the fluorine-containing sulfonate resin incorporates therein a photoacid generating function and serves as a resist resin in which “a moiety capable of changing its developer solubility by the action of an acid” and “a moiety having a photoacid generating function” are arranged with regularity.
    Type: Application
    Filed: June 10, 2014
    Publication date: September 25, 2014
    Inventors: Kazunori MORI, Satoru NARIZUKA, Fumihiro AMEMIYA, Masaki FUJIWARA
  • Patent number: 8841061
    Abstract: A positive resist composition comprising a polymer having carboxyl groups substituted with an acid labile group having formula (1) exhibits a high contrast of alkaline dissolution rate before and after exposure, a high resolution, a reduced acid diffusion rate, and forms a pattern with good profile, minimal edge roughness, and etch resistance. In formula (1), R1 is methylene or ethylene, R2 is alkyl, aryl, or alkenyl, which may contain oxygen or sulfur, R3 is fluorine or trifluoromethyl, and m is an integer of 1 to 4.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: September 23, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Koji Hasegawa
  • Publication number: 20140272707
    Abstract: A sulfonium salt having formula (1a) is provided wherein R1 is H, F, CH3 or CF3, R1a to R1m are each independently H or a monovalent hydrocarbon group, L is a single bond or divalent hydrocarbon group, X is a divalent alkylene group optionally substituted with fluorine, and n is 0 or 1. The sulfonium salt having a polymerizable anion provides for efficient scission of acid labile groups in a chemically amplified resist composition, and it is a very useful monomer from which a base resin for resist use is prepared.
    Type: Application
    Filed: February 4, 2014
    Publication date: September 18, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masahiro Fukushima, Jun Hatakeyama, Masaki Ohashi, Teppei Adachi
  • Publication number: 20140272714
    Abstract: A method of lithography patterning includes forming a first resist pattern on a substrate, wherein the first resist pattern including a plurality of openings. A second resist pattern is formed on the substrate and within the plurality of openings of the first resist pattern, wherein the second resist pattern includes at least one opening therein on the substrate. The first resist pattern is removed to uncover the substrate underlying the first resist pattern.
    Type: Application
    Filed: June 3, 2014
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: CHUN-KUANG CHEN, Hsiao-Wei Yeh, Chih-An Lin, Chien-Wei Wang, Feng-Cheng Hsu
  • Patent number: 8835091
    Abstract: A micro-structure is manufactured by patterning a sacrificial film, forming an inorganic material film on the pattern, and etching away the sacrificial film pattern through an aperture to define a space having the contour of the pattern. The patterning stage includes the steps of (A) coating a substrate with a composition comprising a cresol novolac resin, a crosslinker, and a photoacid generator, (B) heating to form a sacrificial film, (C) patternwise exposure, (D) development to form a sacrificial film pattern, and (E) forming crosslinks within the cresol novolac resin.
    Type: Grant
    Filed: May 18, 2012
    Date of Patent: September 16, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Yoshinori Hirano, Hideyoshi Yanagisawa
  • Publication number: 20140255833
    Abstract: A chemically amplified positive resist composition comprising (A) 100 pbw of a base resin which is normally alkali insoluble or substantially insoluble, (B) 0.05-20 pbw of a photoacid generator, (C) 0.1-50 pbw of a thermal crosslinker, and (D) 50-5,000 pbw of an organic solvent is coated to form a thick film having a high sensitivity and resolution.
    Type: Application
    Filed: May 21, 2014
    Publication date: September 11, 2014
    Applicant: Shin-Etsu Chemical Co., Ltd.
    Inventors: Hiroyuki YASUDA, Katsuya TAKEMURA, Shohei TAGAMI
  • Patent number: 8828493
    Abstract: Methods are disclosed for forming a layered structure comprising a self-assembled material. An initial patterned photoresist layer is treated photochemically, thermally, and/or chemically to form a treated patterned photoresist layer comprising a non-crosslinked treated photoresist. The treated photoresist is insoluble in an organic solvent suitable for casting a material capable of self-assembly. A solution comprising the material capable of self-assembly dissolved in the organic solvent is casted on the treated layer, and the organic solvent is removed. The casted material is allowed to self-assemble with optional heating and/or annealing, thereby forming the layered structure comprising the self-assembled material. The treated photoresist can be removed using an aqueous base and/or a second organic solvent.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Charles Thomas Rettner, Daniel Paul Sanders
  • Patent number: 8828651
    Abstract: A positive-type photosensitive resin composition comprising component (A): an alkali-soluble resin having a functional group which undergoes heat crosslinking reaction with a compound of component (B), a functional group for film curing which undergoes thermoset reaction with a compound of component (C), and a number average molecular weight of 2,000 to 30,000; component (B): a compound having two or more vinyl ether groups per molecule; component (C): a compound having two or more blocked isocyanate groups per molecule; component (D): a photoacid generator; and component (E): a solvent. A production process of the positive-type photosensitive resin composition comprising mixing the above-mentioned components and maintaining the mixture at a temperature higher than room temperature. A cured film manufactured by using the positive-type photosensitive resin composition.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: September 9, 2014
    Assignee: Nissan Chemical Industries, Ltd.
    Inventor: Tadashi Hatanaka
  • Patent number: 8828641
    Abstract: A chemically amplified resist composition comprising a base polymer, an acid generator, and a basic compound of thiomorpholine dioxide structure has many advantages including a high contrast of alkaline dissolution rate before and after exposure, a good pattern profile after exposure, minimized roughness, and a wide focus margin. The resist composition which may be positive or negative is useful for the fabrication of VLSI and photomasks.
    Type: Grant
    Filed: December 1, 2010
    Date of Patent: September 9, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takeru Watanabe
  • Patent number: 8828640
    Abstract: This invention relates to a photo-curing polysiloxane composition including a polysiloxane, a quinonediazidesulfonic acid ester, a methylene alkoxyaryl-containing compound as a curing agent, and a solvent for dispersing the polysiloxane, the quinonediazidesulfonic acid ester, and the methylene alkoxyaryl-containing compound. This invention also provides a protecting film made from the photo-curing polysiloxane composition, and an element containing the protecting film.
    Type: Grant
    Filed: November 7, 2012
    Date of Patent: September 9, 2014
    Assignee: Chi Mei Corporation
    Inventors: Ming-Ju Wu, Chun-An Shih
  • Patent number: 8821978
    Abstract: A method of forming a layered structure comprising a domain pattern of a self-assembled material utilizes a negative-tone patterned photoresist layer comprising non-crosslinked developed photoresist. The developed photoresist is not soluble in an organic casting solvent for a material capable of self-assembly. The developed photoresist is soluble in an aqueous alkaline developer and/or a second organic solvent. A solution comprising the material capable of self-assembly and the organic casting solvent is casted on the patterned photoresist layer. Upon removal of the organic casting solvent, the material self-assembles, thereby forming the layered structure.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Ho-Cheol Kim, Young-Hye Na, Daniel Paul Sanders, Linda Karin Sundberg, Hoa D. Truong, Gregory Michael Wallraff, Atsuko Ito
  • Publication number: 20140242526
    Abstract: Provided is a method for developing positive-tone chemically amplified resists with an organic developer solvent having at least one polyhydric alcohol, such as ethylene glycol and/or glycerol, alone or in combination with an additional organic solvent, such as isopropyl alcohol, and/or water. The organic solvent developed positive tone resists described herein are useful for lithography pattern forming processes; for producing semiconductor devices, such as integrated circuits (IC); and for applications where basic solvents are not suitable, such as the fabrication of chips patterned with arrays of biomolecules or deprotection applications that do not require the presence of acid moieties.
    Type: Application
    Filed: February 23, 2013
    Publication date: August 28, 2014
    Applicants: JSR CORPORATION, INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Robert D. Allen, Ramakrishnan Ayothi, Luisa D. Bozano, William D. Hinsberg, Linda K. Sundberg, Sally A. Swanson, Hoa D. Truong, Gregory M. Wallraff
  • Publication number: 20140242504
    Abstract: The present invention relates to a positive photosensitive resin composition and a method for forming patterns by using the same. The positive photosensitive resin composition includes a novolac resin (A), an ortho-naphthoquinone diazide sulfonic acid ester (B), a hydroxycompound (C) and a solvent (D). The novolac resin (A) further includes a hydroxy-type novolac resin (A-1) and a xylenol-type novolac resin (A-2). The hydroxy-type novolac resin (A-1) is synthesized by condensing hydroxyl benzaldehyde compound with aromatic hydroxyl compound. The xylenol-type novolac resin (A-2) is synthesized by condensing aldehyde compound with xylenol compound. The postbaked positive photosensitive resin composition can be beneficially formed to patterns with high film thickness and well cross-sectional profile.
    Type: Application
    Filed: February 19, 2014
    Publication date: August 28, 2014
    Applicant: CHI MEI CORPORATION
    Inventors: Chi-Ming LIU, Chun-An SHIH
  • Patent number: 8815492
    Abstract: A chemically amplified positive resist composition comprising (A) a triarylsulfonium salt of 2,3,3,3-tetrafluoro-2-(1,1,2,2,3,3,3-heptafluoropropoxy)propionic acid, (B) an acid generator, (C) a base resin, and (D) an organic solvent is suited for ArF immersion lithography. The sulfonium salt is highly hydrophobic and little leached out in immersion water. By virtue of controlled acid diffusion, a pattern profile with high resolution can be constructed.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: August 26, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Youichi Ohsawa, Masaki Ohashi, Takeshi Sasami, Jun Hatakeyama
  • Patent number: 8815490
    Abstract: The radiation-sensitive resin composition includes a first polymer, a second polymer and a radiation sensitive acid generator. The first polymer includes a repeating unit represented by formula (1). The second polymer includes an acid labile group and is dissociated by an action of acid so that alkali solubility is given by dissociation of said acid labile group. R1 represents a hydrogen atom, a methyl group or a trifluoromethyl group. R2 represents a single bond or a divalent linear, branched or cyclic, saturated or unsaturated hydrocarbon group having 1-20 carbon atoms. X represents a fluorine atom-substituted methylene group or a linear or branched fluoroalkylene group having 2-20 carbon atoms. R3 represents a hydrogen atom or a monovalent organic group.
    Type: Grant
    Filed: December 2, 2011
    Date of Patent: August 26, 2014
    Assignee: JSR Corporation
    Inventors: Yasuhiko Matsuda, Tomohisa Fujisawa, Yukari Hama, Takanori Kawakami
  • Publication number: 20140234785
    Abstract: A pattern is formed by coating a chemically amplified resist composition comprising a resin having a dissolution rate in an organic solvent developer that lowers under the action of acid onto a processable substrate, prebaking, exposing the resist film, PEB, developing in an organic solvent developer to form a negative pattern, coating a solution comprising Si, Ti, Zr, Hf or Al, prebaking, and dry etching to effect image reversal for converting the negative pattern into a positive pattern.
    Type: Application
    Filed: January 31, 2014
    Publication date: August 21, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Tsutomu Ogihara, Yusuke Biyajima
  • Patent number: 8808975
    Abstract: A positive resist composition for immersion exposure comprises: (A) a resin capable of increasing its solubility in an alkali developer by an action of an acid, and (B) a compound capable of generating an acid upon irradiation with actinic ray or radiation, wherein the acid satisfies conditions of V?230 and V/S?0.93 taking van der Waals volume of the acid as V (?3), and van der Waals surface area of the acid as S (?2).
    Type: Grant
    Filed: August 17, 2010
    Date of Patent: August 19, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Haruki Inabe, Hiromi Kanda, Kunihiko Kodama
  • Patent number: 8808966
    Abstract: A positive resist composition comprising a polymer having carboxyl groups substituted with an acid labile group having formula (1) exhibits a high contrast of alkaline dissolution rate before and after exposure, a high resolution, a good pattern profile and minimal edge roughness. In formula (1), A is —(CR22)m—, B is —(CR52)n—, R2 and R5 are hydrogen or alkyl, m and n are 1 or 2, R3 is alkyl, alkenyl, alkynyl or aryl, R6 is alkyl, alkoxy, alkanoyl, alkoxycarbonyl, hydroxyl, nitro, aryl, halogen, or cyano, and p is 0 to 4.
    Type: Grant
    Filed: July 25, 2012
    Date of Patent: August 19, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Koji Hasegawa
  • Patent number: 8808967
    Abstract: Topcoat layer compositions are provided that are applied above a photoresist composition. The compositions find particular applicability to immersion lithography processing.
    Type: Grant
    Filed: May 18, 2012
    Date of Patent: August 19, 2014
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Deyan Wang, Chunyi Wu