Post Imaging Radiant Energy Exposure Patents (Class 430/328)
  • Patent number: 11619881
    Abstract: A method for exposing a photopolymerization layer comprising photopolymers includes: providing a printed circuit board, with a photopolymerization layer disposed on the top side of the printed circuit board; performing first-instance exposure on the photopolymerization layer, using a UV source and a digital micro-lens device, wherein the UV source is of a power less than 0.2 kW; stopping the first-instance exposure; covering the photopolymerization layer with a mask, with the mask having a bottom side in contact with the photopolymerization layer; and performing second-instance exposure on the photopolymerization layer, using a mercury lamp and the mask, wherein the mercury lamp is of a power greater than 5 kW.
    Type: Grant
    Filed: September 6, 2022
    Date of Patent: April 4, 2023
    Inventor: Hui-ju Lee
  • Patent number: 11592745
    Abstract: A positive resist composition comprising a base polymer comprising recurring units (a) having the structure of an ammonium salt of a carboxylic acid having an iodized or brominated aromatic ring exhibits a high sensitivity, high resolution, low edge roughness (LER, LWR) and small size variation, and forms a pattern of good profile after exposure and development.
    Type: Grant
    Filed: January 28, 2020
    Date of Patent: February 28, 2023
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Jun Hatakeyama
  • Patent number: 11543749
    Abstract: The present invention provides a resist composition which has sufficient resistant to a plating treatment and is capable of forming a resist pattern with high accuracy. The present invention also provides a method for producing a resist pattern using the resist composition, and a method for producing a plated molded article using the resist pattern. The present invention relates to a resist composition comprising a compound (I) having a quinone diazide sulfonyl group, a resin comprising a structural unit having an acid-labile group (A1), an alkali-soluble resin (A2) and an acid generator (B); a method for producing a resist pattern using the resist composition; and a method for producing a plated molded article using the resist pattern.
    Type: Grant
    Filed: February 18, 2021
    Date of Patent: January 3, 2023
    Assignee: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Masako Sugihara, Takashi Nishimura, Junji Nakanishi
  • Patent number: 10935825
    Abstract: A substrate processing method includes a first discharge step of discharging, from the first discharge port which faces a predetermined first region including the rotating center of the upper surface, a low surface tension liquid containing gas containing steam of a low surface tension liquid having a larger specific gravity than air and lower surface tension than the processing liquid and not discharging the low surface tension liquid containing gas from the second discharge port which faces a predetermined second region surrounding the outside of the first region on the upper surface of the substrate, and a second discharge step of discharging the low surface tension liquid containing gas from the second discharge port after the first discharge step and not discharging the low surface tension liquid containing gas from the first discharge port.
    Type: Grant
    Filed: January 18, 2017
    Date of Patent: March 2, 2021
    Inventor: Masayuki Otsuji
  • Patent number: 10586697
    Abstract: Embodiments of the present invention are directed to the wet stripping of an organic planarization layer (OPL) using reversible UV crosslinking and de-crosslinking. In a non-limiting embodiment of the invention, an interlayer dielectric is formed over a substrate. A trench is formed in the interlayer dielectric. A work function metal is formed over the interlayer dielectric such that a portion of the work function metal partially fills the trench. A UV sensitive OPL is formed over the work function metal such that a portion of the UV sensitive OPL fills the trench. The UV sensitive OPL can be crosslinked by applying light at a first UV frequency and de-crosslinked by applying light at a second UV frequency.
    Type: Grant
    Filed: May 29, 2019
    Date of Patent: March 10, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ekmini A. De Silva, Nelson Felix, Jing Guo, Indira Seshadri
  • Patent number: 10429740
    Abstract: A method of recovering a defect portion of a resist pattern formed on a substrate including applying a shrinking agent composition so as to cover the resist pattern having the defect portion; forming a developing solution-insoluble region on the surface of the resist pattern; and developing the covered resist pattern, the shrinking agent composition including a polymeric compound (X) which is a homopolymer or a random copolymer.
    Type: Grant
    Filed: March 15, 2016
    Date of Patent: October 1, 2019
    Assignee: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Junichi Tsuchiya, Rikita Tsunoda, Daichi Takaki, Miki Shinomiya, Masafumi Fujisaki
  • Patent number: 10276375
    Abstract: A method includes receiving an integrated circuit (IC) layout having a pattern layer. The pattern layer includes a main layout pattern. A dimension W1 of the main layout pattern along a first direction is greater than a wafer metrology tool's critical dimension (CD) measurement upper limit. The method further includes adding a plurality of assistant layout patterns into the pattern layer. The plurality of assistant layout patterns includes a pair of CD assistant layout patterns on both sides of the main layout pattern along the first direction. The pair of CD assistant layout patterns have a substantially same dimension W2 along the first direction and are about equally distanced from the main layout pattern by a dimension D1. The dimensions W2 and D1 are greater than a printing resolution in a photolithography process and are equal to or less than the wafer metrology tool's CD measurement upper limit.
    Type: Grant
    Filed: November 18, 2016
    Date of Patent: April 30, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hung-Wen Cho, Wen-Chen Lu, Chaos Tsai, Feng-Jia Shiu
  • Patent number: 10108093
    Abstract: Methods and apparatuses for minimizing line edge/width roughness in lines formed by photolithography are provided. The random diffusion of acid generated by a photoacid generator during a lithography process contributes to line edge/width roughness. Methods disclosed herein apply an electric field, a magnetic field, and/or a standing wave during photolithography processes. The field and/or standing wave application controls the diffusion of the acids generated by the photoacid generator along the line and spacing direction, preventing the line edge/width roughness that results from random diffusion. Apparatuses for carrying out the aforementioned methods are also disclosed herein.
    Type: Grant
    Filed: October 12, 2017
    Date of Patent: October 23, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Peng Xie, Ludovic Godet, Christopher Bencher
  • Patent number: 10048589
    Abstract: Embodiments described herein generally relate to methods for mitigating patterning defects. More specifically, embodiments described herein relate to utilizing field guided post exposure bake processes to mitigate microbridge photoresist defects. An electric field may be applied to a substrate being processed during a post exposure bake process. Photoacid generated as a result of the exposure may be moved along a direction defined by the electric field. The movement of the photoacid may contact microbridge defects and facilitate the removal of the microbridge defects from the surface of a substrate.
    Type: Grant
    Filed: October 25, 2017
    Date of Patent: August 14, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Ludovic Godet, Sang Ki Nam, Christine Y. Ouyang
  • Patent number: 9857688
    Abstract: A method of forming a fine pattern comprises depositing a modifying layer on a substrate. A photoresist layer is deposited on the modifying layer, the photoresist layer having a first pattern. The modifying layer is etched according to the first pattern of the photoresist layer. A treatment is performed to the etched modifying layer to form a second pattern, the second pattern having a smaller line width roughness (LWR) and/or line edge roughness (LER) than the first pattern. The second pattern is then etched into the substrate.
    Type: Grant
    Filed: January 28, 2015
    Date of Patent: January 2, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih Wei Lu, Chung-Ju Lee, Tien-I Bao
  • Patent number: 9366952
    Abstract: A lithographic method is disclosed that includes, on a substrate provided with a layer of a resist and a further layer of a material provided on the layer of resist, providing a pattern in the further layer, the pattern defining a space via which an area of the layer of resist may be exposed to radiation, a distance between features of the pattern defining the space, and exposing the layer of resist to radiation having a wavelength greater than the distance between features of the pattern defining the space, such that near-field radiation is generated which propagates into and exposes an area of the resist.
    Type: Grant
    Filed: June 15, 2015
    Date of Patent: June 14, 2016
    Assignee: ASML HOLDING N.V.
    Inventor: Donis George Flagello
  • Patent number: 9213239
    Abstract: Methods of forming a pattern in a semiconductor device structure include deprotecting an outer portion of a first photosensitive resist material, forming a second photosensitive resist material, exposing portions of the first and second photosensitive resist materials to radiation, and removing the deprotected outer portion of the first photosensitive resist material and the exposed portions of the first and second photosensitive resist materials. Additional methods include forming a first resist material over a substrate to include a first portion and a relatively thicker second portion, deprotecting substantially the entire first portion and an outer portion of the second portion while leaving an inner portion of the second portion protected, and forming a second resist material over the substrate. A portion of the second resist material is exposed to radiation, and deprotected and exposed portions of the first and second resist materials are removed.
    Type: Grant
    Filed: January 22, 2013
    Date of Patent: December 15, 2015
    Assignee: MICRON TECHNOLOGY, INC.
    Inventors: Scott Light, Yuan He, Michael A. Many, Michael Hyatt
  • Patent number: 9099314
    Abstract: Spacers in a pitch multiplication process are formed without performing a spacer etch. Rather, the mandrels are formed over a substrate and then the sides of the mandrels are reacted, e.g., in an oxidization, nitridation, or silicidation step, to form a material that can be selectively removed relative to the unreacted portions of the mandrel. The unreacted portions are selectively removed to leave a pattern of free-standing spacers. The free-standing spacers can serve as a mask for subsequent processing steps, such as etching the substrate.
    Type: Grant
    Filed: June 30, 2010
    Date of Patent: August 4, 2015
    Assignee: MICRON TECHNOLOGY, INC.
    Inventors: Gurtej S. Sandhu, Kirk D. Prall
  • Patent number: 9029073
    Abstract: A undercoat agent used for performing phase separation of a layer formed on a substrate and containing a block copolymer having a plurality of blocks bonded, wherein the undercoat agent contains a resin component, the resin component is formed from a structural unit having an aromatic ring and a structural unit not having an aromatic ring, and the resin component has a group that can interact with the substrate, and also has a 3 to 7-membered, ether-containing cyclic group.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: May 12, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takahiro Senzaki, Ken Miyagi, Kenichiro Miyashita
  • Patent number: 9017928
    Abstract: A resin structure for the formation of a micro-structure is manufactured by (A) applying a composition comprising a polymer, a photoacid generator, an epoxy compound, and an organic solvent onto a substrate, (B) heating the composition to form a sacrificial film, (C) exposing imagewise the film to first high-energy radiation, (D) developing the film in an alkaline developer to form a sacrificial film pattern, (E) exposing the sacrificial film pattern to UV as second high-energy radiation, and (F) heating the substrate at 80-250° C. The exposure dose of first high-energy radiation in step (C) is up to 250 mJ/cm2. At the end of step (F), the sacrificial film has a sidewall angle of 80°-90° relative to the substrate.
    Type: Grant
    Filed: January 14, 2014
    Date of Patent: April 28, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Yoshinori Hirano, Masashi Iio, Hideyoshi Yanagisawa
  • Patent number: 9017933
    Abstract: A method for treating a dielectric film on a substrate and, in particular, a method for integrating a low-k dielectric film with subsequently formed metal interconnects is described. The method includes preparing a dielectric film on a substrate, wherein the dielectric film is a low-k dielectric film having a dielectric constant less than or equal to a value of about 4. Thereafter, the method further includes performing a preliminary curing process on the dielectric film, forming a pattern in the dielectric film using a lithographic process and an etching process, removing undesired residues from the substrate, and performing a final curing process on the dielectric film, wherein the final curing process includes irradiating the substrate with ultraviolet (UV) radiation.
    Type: Grant
    Filed: March 25, 2011
    Date of Patent: April 28, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Junjun Liu, Dorel I. Toma, Hongyu Yue
  • Publication number: 20150111176
    Abstract: The invention relates to the use of a composite resin composition comprising (a) at least one polyreactive binder, (b) a first photopolymerization initiator having an absorption maximum at a wavelength of less than 400 nm, (c) a second photopolymerization initiator having an absorption maximum at a wavelength of at least 400 nm and (d) an absorber having an absorption maximum at a wavelength of less than 400 nm, for the stereolithographic production of a dental shaped part based on composite resin. The invention also relates to a process for the stereolithographic production of a dental shaped part and the use of the composite resin composition in this process.
    Type: Application
    Filed: April 11, 2013
    Publication date: April 23, 2015
    Inventors: Wolfgang Wachter, Jörg Ebert, Dieter Voser, Norbert Moszner, Volker Rheinberger, Jürgen Stampfl
  • Patent number: 9005854
    Abstract: A conductive pattern is formed using a reactive polymer comprising pendant tertiary alkyl ester groups, a compound that provides an acid upon exposure to radiation, and a crosslinking agent. A polymeric layer is patternwise exposed to form first exposed regions with a polymer comprising carboxylic acid groups that are contacted with electroless seed metal ions, and then contacted with a halide to form corresponding electroless seed metal halide. Another exposure converts electroless seed metal halide to electroless seed metal nuclei and forms second exposed regions. A reducing agent is used to develop the electroless seed metal nuclei in the second exposed regions, or to develop the electroless seed metal halide in the first exposed regions. Fixing is used to remove any remaining electroless seed metal halide. The electroless seed metal nuclei are then electrolessly plated in various exposed regions.
    Type: Grant
    Filed: November 5, 2013
    Date of Patent: April 14, 2015
    Assignee: Eastman Kodak Company
    Inventors: Mark Edward Irving, Thomas B. Brust
  • Patent number: 9005875
    Abstract: A method of fabricating a substrate including coating a first resist onto a hardmask, exposing regions of the first resist to electromagnetic radiation at a dose of 10.0 mJ/cm2 or greater and removing a portion of said the and forming guiding features. The method also includes etching the hardmask to form isolating features in the hardmask, applying a second resist within the isolating features forming regions of the second resist in the hardmask, and exposing regions of the second resist to electromagnetic radiation having a dose of less than 10.0 mJ/cm2 and forming elements.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: April 14, 2015
    Assignee: Intel Corporation
    Inventors: Robert L. Bristol, Paul A. Nyhus, Charles H. Wallace
  • Patent number: 8993221
    Abstract: An integrated circuit is made by depositing a pinning layer on a substrate. A block copolymer photoresist is formed on the pinning layer. The block copolymer has two blocks A and B that do not self-assemble under at least some annealing conditions. The exposed block copolymer photoresist is processed to cleave at least some block copolymer bonds in the exposed selected regions. The exposed pinning layer is processed to create a chemical epitaxial pattern to direct the local self assembly of the block copolymer.
    Type: Grant
    Filed: February 11, 2013
    Date of Patent: March 31, 2015
    Assignee: Pixelligent Technologies, LLC
    Inventors: Gregory D. Cooper, Brian L. Wehrenberg
  • Patent number: 8974683
    Abstract: A method of reducing roughness in an opening in a surface of a resist material disposed on a substrate, comprises generating a plasma having a plasma sheath and ions therein. The method also includes modifying a shape of a boundary defined between the plasma and the plasma sheath with a plasma sheath modifier so that a portion of the boundary facing the resist material is not parallel to a plane defined by the surface of the substrate. The method also includes providing a first exposure of ions while the substrate is in a first position, the first exposure comprising ions accelerated across the boundary having the modified shape toward the resist material over an angular range with respect to the surface of the substrate.
    Type: Grant
    Filed: September 9, 2011
    Date of Patent: March 10, 2015
    Inventors: Ludovic Godet, Patrick M. Martin, Joseph C. Olson, Andrew J. Hornak
  • Publication number: 20150017590
    Abstract: A coating agent for forming a fine pattern and a method for forming a fine pattern using the coating agent, in which the coating agent allows a resist pattern to be favorably fined, and can form a fined pattern having a suppressed deviation of CD. A coating agent for forming a fine pattern including (A) a water-soluble polymer is combined with a compound in which the compound has an alkyl group having 8 or more carbon atoms bound to a nitrogen atom, and is combined with 4 moles or more of ethylene oxide and/or propylene oxide with respect to 1 mole of a nitrogen atom bound with the alkyl group as (B) a nitrogen-containing compound.
    Type: Application
    Filed: July 9, 2014
    Publication date: January 15, 2015
    Inventors: Tomoya Kumagai, Takumi Namiki
  • Patent number: 8889343
    Abstract: Approaches for utilizing laser annealing to optimize lithographic processes such as directed self assembly (DSA) are provided. Under a typical approach, a substrate (e.g., a wafer) will be subjected to a lithographic process (e.g., having a set of stages/phases, aspects, etc.) such as DSA. Before or during such process, a set of laser annealing passes/scans will be made over the substrate to optimize one or more of the stages. In addition, the substrate could be subjected to additional processes such as hotplate annealing, etc. Still yet, in making a series of laser annealing passes, the techniques utilized and/or beam characteristics of each pass could be varied to further optimize the results.
    Type: Grant
    Filed: December 26, 2012
    Date of Patent: November 18, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Moshe E Preil, Gerard M. Schmid, Richard A. Farrell, Ji Xu, Thomas I. Wallow
  • Patent number: 8877641
    Abstract: A method for mitigating line-edge roughness on a semiconductor device. The method includes line-edge roughness mitigation techniques in accordance with embodiments of the present invention. The techniques include: reducing the SiON film thickness below a conventional thickness; increasing the photoresist thickness above a conventional thickness; etching the SiON film with an etch bias power less than a conventional wattage amount with an overetch percentage less than a conventional overetch percentage; removing the SiON film layer immediately after completion of the amorphous carbon film layer etching; and lowering the lower electrode temperature below a conventional temperature.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: November 4, 2014
    Assignee: Spansion LLC
    Inventor: Calvin T Gabriel
  • Patent number: 8852830
    Abstract: A photomask for exposing a region on a substrate, with a mask pattern, including a first line pattern, a second line pattern, a first connection pattern for a peripheral portion of the region and a second connection pattern for the peripheral portion, wherein the first connection pattern is wider than the first line pattern and the second connection pattern is wider than the second line pattern, a distance from a virtual line between the first line pattern and the second line pattern to a center line of the first connection pattern is larger than a distance from the virtual line to a center line of the first line pattern and a distance from the virtual line to a center line of the second connection pattern is larger than a distance from the virtual line to a center line of the second line pattern.
    Type: Grant
    Filed: February 19, 2013
    Date of Patent: October 7, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Satoshi Hirayama, Atsushi Kanome
  • Patent number: 8828493
    Abstract: Methods are disclosed for forming a layered structure comprising a self-assembled material. An initial patterned photoresist layer is treated photochemically, thermally, and/or chemically to form a treated patterned photoresist layer comprising a non-crosslinked treated photoresist. The treated photoresist is insoluble in an organic solvent suitable for casting a material capable of self-assembly. A solution comprising the material capable of self-assembly dissolved in the organic solvent is casted on the treated layer, and the organic solvent is removed. The casted material is allowed to self-assemble with optional heating and/or annealing, thereby forming the layered structure comprising the self-assembled material. The treated photoresist can be removed using an aqueous base and/or a second organic solvent.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Charles Thomas Rettner, Daniel Paul Sanders
  • Patent number: 8828650
    Abstract: A method for making a retarder includes: (a) forming a photocurable layer on a substrate, the photocurable layer including at least one photocurable prepolymer that has a plurality of reactive functional groups and a functional group equivalent weight ranging from 70 to 700 g/mol; (b) covering partially the photocurable layer using a patterned mask; (c) exposing the photocurable layer through the patterned mask; (d) removing the patterned mask; (e) exposing the photocurable layer to cure second regions of the photocurable layer so as to form a microstructure; (f) forming an alignment layer on the microstructure; (g) forming a liquid crystal layer on the alignment layer; and (h) curing the liquid crystal layer.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: September 9, 2014
    Assignee: Far Eastern New Century Corporation
    Inventors: Da-Ren Chiou, Wei-Che Hung, Chiu-Fang Chen, Yu-June Wu
  • Patent number: 8821978
    Abstract: A method of forming a layered structure comprising a domain pattern of a self-assembled material utilizes a negative-tone patterned photoresist layer comprising non-crosslinked developed photoresist. The developed photoresist is not soluble in an organic casting solvent for a material capable of self-assembly. The developed photoresist is soluble in an aqueous alkaline developer and/or a second organic solvent. A solution comprising the material capable of self-assembly and the organic casting solvent is casted on the patterned photoresist layer. Upon removal of the organic casting solvent, the material self-assembles, thereby forming the layered structure.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Ho-Cheol Kim, Young-Hye Na, Daniel Paul Sanders, Linda Karin Sundberg, Hoa D. Truong, Gregory Michael Wallraff, Atsuko Ito
  • Patent number: 8822139
    Abstract: A method for providing an ordered polymer layer at a surface of a substrate includes depositing a self-assemblable polymer layer directly onto a primer layer on a substrate to provide an interface between the self-assemblable polymer layer and the primer layer, and treating the self-assemblable polymer layer to provide self-assembly into an ordered polymer layer, such as a block copolymer, having first and second domain types at the interface. The primer layer is adapted to improve its chemical affinity to each domain type at the interface, in response to the presence of the respective domain type in the self-assembled polymer at the interface during the self-assembly of the self-assemblable polymer layer into the ordered polymer layer. This may lead to reduction in defect levels and/or improved persistence length for the ordered polymer layer. The method may be useful for forming resist layers for use in device lithography.
    Type: Grant
    Filed: January 19, 2011
    Date of Patent: September 2, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Emiel Peeters, Sander Frederik Wuister, Roelof Koole
  • Patent number: 8785115
    Abstract: A photoresist removal method is described. A substrate having thereon a positive photoresist layer to be removed is provided. The positive photoresist layer is UV-exposed without using a photomask. A development liquid is used to remove the UV-exposed positive photoresist layer. The substrate as provided may further have thereon a sacrificial masking layer under the positive photoresist layer. The sacrificial masking layer is removed after the UV-exposed positive photoresist layer is removed.
    Type: Grant
    Filed: February 9, 2012
    Date of Patent: July 22, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Hung-Yi Wu, Yuan-Chi Pai, Yu-Wei Cheng, Chang-Mao Wang
  • Patent number: 8785111
    Abstract: The present application discloses a method for preparing and rendering hydrophilic a nanoporous material of a polymer matrix which has a porosity of 0.1-90% (v/v), such that the ratio between the final water absorption (% (w/w)) and the porosity (% (v/v)) is at least 0.05, the method comprising the steps of: (a) preparing a precursor material comprising at least one polymeric component and having a first phase and a second phase; (b) removal of at least a part of the first phase of the precursor material prepared in step (a) so as to leave behind a nanoporous material of the polymer matrix; (c) irradiating at least a part of said nanoporous material with light of a wave length of in the range of 250-400 nm (or 200-700 nm) in the presence of oxygen and/or ozone. Corresponding hydrophilic nanoporous materials are also disclosed.
    Type: Grant
    Filed: December 9, 2009
    Date of Patent: July 22, 2014
    Assignee: Danmarks Tekniske Universitet
    Inventors: Li Li, Sokol Ndoni, Rolf Henrik Berg, Lars Schulte, Martin E. Vigild
  • Patent number: 8778603
    Abstract: A method of treating resist features comprises positioning, in a process chamber, a substrate having a set of patterned resist features on a first side of the substrate and generating a plasma in the process chamber having a plasma sheath adjacent to the first side of the substrate. The method may further comprise modifying a shape of a boundary between the plasma and the plasma sheath with a plasma sheath modifier so that a portion of the shape of the boundary is not parallel to a plane defined by a front surface of the substrate facing the plasma, wherein ions from the plasma impinge on the patterned resist features over a wide angular range during a first exposure.
    Type: Grant
    Filed: March 11, 2011
    Date of Patent: July 15, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Ludovic Godet, Patrick M. Martin, Timothy J. Miller, Vikram Singh
  • Patent number: 8765358
    Abstract: A water-soluble resin composition for forming fine patterns comprising water-soluble polymer represented by Chemical Formula 1 as below and the first water-soluble solvent, is coated and heated on a photoresist layer having at least one contact hole to reduce a size of the at least one contact hole. (In Chemical Formula 1, each of R1, R2, R3 and R5 independently represents an alkyl group of C1-30 or an cyclo alkyl group of C3-30 which respectively have one selected from the group consisting of hydrogen, an ether group, an ester group, a carbonyl group, an acetal, an epoxy group, a nitril group, an amine group, and an aldehyde group; each of R4, R6, R7 and R8 independently represents hydrogen or a methyl group; n represents an integer of 0 to 5; a represents a real number of 0.05 to 0.5; each of b, c and d respectively represents a real number of 0 to 0.7; and a+b+c+d=1).
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: July 1, 2014
    Assignee: Korea Kumho Petrochemical Co., Ltd.
    Inventors: Sang Wook Park, So Jung Park, Dong-Chul Seo
  • Publication number: 20140154632
    Abstract: A method of modifying a surface of a photoresist material including exposing the photoresist material to an aqueous ionic surfactant solution and varying the pH of the aqueous ionic surfactant solution until a fluorochemical layer is formed in or on the photoresist material. The aqueous ionic surfactant solution includes a perfluoroalkyl sulfonamide the formula: RfS02NH—R? where Rf=CnF2n+1— and n=1 to 6, R?=—H, —CH3, and —CH2CH2OH. The aqueous ionic surfactant solution has a pH of within about 3 pH units of a pKa of the perfluoroalkyl sulfonamide.
    Type: Application
    Filed: August 1, 2012
    Publication date: June 5, 2014
    Applicant: 3M INNOVATIVE PROPERTIES COMPANY
    Inventors: Jason M. Kehren, Patricia M. Savu, Matthew J. Pinnow
  • Patent number: 8735049
    Abstract: A method of making a relief printing element in a liquid photopolymer platemaking process is described. The method comprises the steps of: (a) selectively exposing the liquid photopolymer to actinic radiation through a negative to crosslink and cure portions of the liquid photopolymer; and (b) reclaiming uncured portions of the liquid photopolymer to be reused in the platemaking process. The step of reclaiming uncured portions of the liquid photopolymer comprises (i) heating the printing element to decrease the viscosity of the uncured liquid photopolymer; and (ii) removing uncured liquid photopolymer from the surface of the relief image printing element so that recovery of uncured liquid photopolymer from the surface of the relief image printing element is enhanced.
    Type: Grant
    Filed: May 22, 2012
    Date of Patent: May 27, 2014
    Inventor: Ryan W. Vest
  • Patent number: 8703394
    Abstract: The present disclosure is a method for forming a thin film pattern to form a micron-pattern and a flat display device having the same. The method for forming a thin film pattern includes the steps of forming first to third thin film layers on a substrate in succession, forming a first photoresist pattern on the third thin film layer, patterning the second and third thin film layers using the first photoresist pattern as a mask to form first and second thin film mask pattern having line widths different from each other, forming a second photoresist pattern at a region where the first and second thin film mask patterns do not overlap positioned between the first thin film layer and the second thin film mask pattern, removing the first and second thin film mask patterns, and patterning the first thin film layer using the second photoresist pattern as a mask.
    Type: Grant
    Filed: June 10, 2011
    Date of Patent: April 22, 2014
    Assignee: LG Display Co., Ltd.
    Inventors: Jeong-Oh Kim, Jung-Il Lee, Kang-Il Kim, Jung-Ho Bang, Jung-Sun Beak
  • Patent number: 8703409
    Abstract: A method for forming a microstructure includes: (a) forming a photocurable layer on a substrate, the photocurable layer including at least one photocurable compound that has a photocurable functional group equivalent weight ranging from 70 to 700 g/mol; (b) covering partially the photocurable layer using a patterned mask; (c) exposing the photocurable layer through the patterned mask using a first light source so that the photocurable layer is cured at first regions which are exposed; (d) removing the patterned mask; and (e) illuminating the photocurable layer using a second light source to cure second regions of the photocurable layer which have not been cured. The first and second regions have different surface heights and provide a surface roughness for the microstructure.
    Type: Grant
    Filed: September 13, 2011
    Date of Patent: April 22, 2014
    Assignee: Far Eastern New Century Corporation
    Inventors: Da-Ren Chiou, Wei-Che Hung, Shoh-Yue Lin, Chiu-Fang Chen, Tzu-Ying Chen
  • Patent number: 8703399
    Abstract: In a method of manufacturing a display apparatus, a first substrate including a plurality of pixels is formed, and a black column spacer is formed on the first substrate. A second substrate is formed, and a liquid crystal layer is formed between the first substrate and the second substrate. The black column spacer is formed by coating a photoresist on the first substrate, exposing the photoresist to a first light, developing the exposed photoresist and exposing the developed photoresist to a second light.
    Type: Grant
    Filed: April 4, 2012
    Date of Patent: April 22, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Gwan-Soo Kim, Dong-Uk Kang, Chul Huh
  • Patent number: 8697342
    Abstract: Disclose herein is a method of modifying a positive-type chemically amplified resist pattern, including the steps of, applying to a surface of a resist pattern, an aqueous solution of a modifier for the positive-type chemically amplified resist pattern, the aqueous solution containing a water-soluble cross-linking agent and a penetration accelerator, the cross-linking agent and the penetration accelerator being dissolved in water or a mixed solvent containing water as a main ingredient, so as to permit the cross-linking agent to penetrate the resist pattern, removing a surplus of the cross-linking agent, and irradiating the resist pattern.
    Type: Grant
    Filed: March 8, 2011
    Date of Patent: April 15, 2014
    Assignee: Sony Corporation
    Inventors: Ichiro Takemura, Isao Mita, Eriko Matsui, Nobuyuki Matsuzawa
  • Patent number: 8697314
    Abstract: A method of producing a volume hologram laminate which can regenerate a hologram image in an arbitrary wavelength by a simple process. The method uses a volume hologram forming substrate which includes: a substrate, a volume hologram layer formed on the substrate and containing a photopolymerizable material, a resin layer, formed on the substrate so as to contact to the volume hologram layer, containing a resin and a polymerizable compound. The producing method includes processes of: a hologram recording process to record a volume hologram to the volume hologram layer, a substance transit process of transiting the polymerizable compound to the volume hologram layer, and an after-treatment process of polymerizing the polymerizable compound.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: April 15, 2014
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Minoru Azakami, Koji Eto, Hiroyuki Ohtaki, Yoshihito Maeno, Sakurako Hatori
  • Patent number: 8691495
    Abstract: A photoresist pattern forming method, comprising a first step of forming on an underlayer a photoresist film which includes a convex portion and a concave portion having a thickness thinner than a thickness of the convex portion, and a second step of processing the photoresist film to form, in a portion which has been the convex portion, an opening having a width narrower than a width of the convex portion, wherein in the second step, the convex portion of the photoresist film is at least partially exposed, and the photoresist film is then developed, and exposure light is condensed by the convex portion in exposing the photoresist film.
    Type: Grant
    Filed: August 24, 2012
    Date of Patent: April 8, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kousei Uehira, Satoshi Hirayama
  • Patent number: 8679728
    Abstract: A method for fabricating a patterned layer is disclosed. Firstly, a semiconductor substrate is provided. Then, a precursory gas on the semiconductor substrate is formed. Finally, a patterned layer on the semiconductor substrate is deposited by reacting the precursory gas with at least one electron beam or at least one ion beam. The present invention not only fabricates a patterned layer on the substrate in a single step but also achieves a high lithographic resolution and avoids remains of contaminations by using the properties of the electron beam or the ion beam and the precursory gas.
    Type: Grant
    Filed: November 21, 2012
    Date of Patent: March 25, 2014
    Assignee: National Applied Research Laboratories
    Inventors: Chien-Chao Huang, Chun-Chi Chen, Shyi-Long Shy, Cheng-San Wu, Fu-Liang Yang
  • Patent number: 8657961
    Abstract: Embodiments of the invention generally provide methods for cleaning a UV processing chamber. In one embodiment, the method includes flowing an oxygen-containing gas through a plurality of passages formed in a UV transparent gas distribution showerhead and into a processing region located between the UV transparent gas distribution showerhead and a substrate support disposed within the thermal processing chamber, exposing the oxygen-containing gas to UV radiation under a pressure scheme comprising a low pressure stage and a high pressure stage to generate reactive oxygen radicals, and removing unwanted residues or deposition build-up from exposed surfaces of chamber components presented in the thermal processing chamber using the reactive oxygen radicals.
    Type: Grant
    Filed: April 4, 2013
    Date of Patent: February 25, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Bo Xie, Alexandros T. Demos, Scott A. Hendrickson, Sanjeev Baluja, Juan Carlos Rocha-Alvarez
  • Patent number: 8652766
    Abstract: An organic passivation film having a high transmittance and capable of setting a taper angle of a through hole within a predetermined range in a liquid crystal display device. A pixel electrode and a source electrode of a TFT are connected by way of a through hole formed in an organic passivation film. The organic passivation film having high productivity, high transmittance, and a predetermined taper angle ? of a through hole can be formed by using a chemical amplification photosensitive resin composition comprising, as a base material, an acrylic resin having a molecular weight of 4,000 to 20,000 and containing 1 to 6 wt % of a photoacid generator as the material for the organic passivation film, performing exposure and development for forming a through hole, and then performing post exposure, prebaking, and baking under appropriate conditions.
    Type: Grant
    Filed: October 3, 2011
    Date of Patent: February 18, 2014
    Assignees: Hitachi Displays, Ltd., Panasonic Liquid Crystal Display Co., Ltd.
    Inventors: Toshimasa Ishigaki, Fumio Takahashi, Toshiki Kaneko
  • Patent number: 8637226
    Abstract: A method of forming an image having multiple phases is disclosed herein. The method includes forming exposed and unexposed areas, the exposed areas comprising a first polymer network exhibiting first and second phases that are chemically connected and have different refractive indices, the first phase being continuous, and the second phase comprising a plurality of structures dispersed within the first phase, and the unexposed areas comprising a second polymer network comprising third and fourth phases that are chemically connected and have different refractive indices, the third phase being continuous, and the fourth phase comprising a plurality of structures dispersed within the third phase. The first and second polymer networks are chemically connected, and morphology formed by the first and second phases is different than that formed by the third and fourth phases.
    Type: Grant
    Filed: February 8, 2012
    Date of Patent: January 28, 2014
    Assignee: 3M Innovative Properties Company
    Inventors: Mieczyslaw H. Mazurek, Raymond P. Johnston, John E. Potts, Marc D. Radcliffe, Kevin R. Schaffer, Audrey A. Sherman, Wendi J. Winkler
  • Patent number: 8574795
    Abstract: Correction of CD variation is accomplished with a second exposure, e.g. using a second reticle. Embodiments include exposing a first wafer with a first dose using a first reflective reticle having a pattern corresponding to a wafer target pattern, or measuring and/or inspecting first reticle pattern portions and calculating and/or simulating corresponding first wafer pattern portions obtained with a predetermined first dosage, identifying CD variations between the exposed wafer or the calculated/simulated first wafer pattern and the target pattern for different target pattern features, exposing a second wafer with the first reticle using a second dose, and correcting the CD variations by applying an additional exposure of the second wafer, before or after exposing the second wafer with the first reticle. Embodiments further include using additional exposures to prevent printing unwanted structures on the reticle or to deliberately vary sizes of selected structures on the wafer for development purposes.
    Type: Grant
    Filed: August 27, 2012
    Date of Patent: November 5, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Arthur Hotzel
  • Patent number: 8563202
    Abstract: A method for stitching a first field mask to a second field mask on a wafer includes providing a photomask with a first set of targets and a second set of targets, printing images of the first set of targets and the second set of targets onto the wafer where the photomask is applied to the wafer having no previous alignment marks formed thereon for the photomask to align to. A first set of alignment marks is formed from the first set of targets and a second set of alignment marks is formed from the second set of targets. The method includes aligning a first field mask to the first set of alignment marks and aligning a second field mask to the second set of alignment marks. The images of the first field mask and the second field mask are thereby stitched together on the wafer.
    Type: Grant
    Filed: April 17, 2013
    Date of Patent: October 22, 2013
    Assignee: Micrel, Inc.
    Inventor: Arthur Lam
  • Patent number: 8551677
    Abstract: Correction of critical dimension variation is accomplished with a second exposure, e.g. using a second reticle. Embodiments include exposing a first wafer with a first dose using a first reticle, having a pattern corresponding to a target pattern for a wafer, identifying CD variations between the exposed wafer and the target pattern for different features in the target pattern, exposing a second wafer with the first reticle using a second dose, less than or equal to the first dose, and correcting the CD variations by applying an additional exposure of the second wafer. Embodiments further include using one or more additional exposures to prevent printing unwanted structures on the reticle or to deliberately vary the sizes of selected structures on the wafer for development purposes.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: October 8, 2013
    Assignee: GlobalFoundries Inc.
    Inventor: Arthur Hotzel
  • Patent number: 8475980
    Abstract: A method of forming a semiconductor device can include determining a shot set including a plurality of shots, based on a final pattern used to form a mask. Shots included in the plurality shots can be classified as being in a first pass shot set or in a second pass shot set, where each can include a plurality of non-directly neighboring shots. A first pass exposure can be performed to radiate a reticle to provide the first pass shot set and a second pass exposure can be performed to radiate the reticle to provide the second pass shot set.
    Type: Grant
    Filed: August 26, 2011
    Date of Patent: July 2, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin Choi, Byung-gook Kim, Hee-bom Kim, Sang-hee Lee
  • Patent number: 8465910
    Abstract: Lithographic Method. The method fabricates complex structures and includes depositing a photoresist onto a substrate, the photoresist including a predominantly thermal band of optical absorption possibly due to the incorporation of a doping agent. A three-dimensional pattern is generated within the resist using a first wavelength of light to effect activation of a photoinitiator to produce a latently photostructured resist. Focused laser spike annealing of the photostructured resist with a second wavelength of light selected to be absorbed by the thermally absorbing band to accelerate the photoinduced reaction in the resist is provided. Three-dimensional direct writing may be performed within the resist to define features not part of the interference pattern and the resist is developed to produce the complex structure.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: June 18, 2013
    Assignee: Massachusetts Institute of Technology
    Inventors: Jonathan Phillip Singer, Jae-Hwang Lee, Steven E. Kooi, Edwin Lorimer Thomas