Post Imaging Radiant Energy Exposure Patents (Class 430/328)
  • Patent number: 6828259
    Abstract: A process for forming a transistor having a gate width of less than 70 nm is disclosed herein. The process includes E-beam irradiation a gate patterned on a photoresist layer, trimming the gate patterned on the photoresist layer, and etching the gate patterned on the photoresist layer to a polysilicon layer disposed below the photoresist layer.
    Type: Grant
    Filed: December 14, 2001
    Date of Patent: December 7, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Philip A. Fisher, Chih-Yuh Yang, Marina V. Plat, Russell R.A. Callahan, Ashok M. Khathuria
  • Patent number: 6828083
    Abstract: Compositions and methods of the invention provide for a controlled flow of resist into device contact (via) holes during a post-exposure, post-development hard-bake step. Resists of the invention are positive-acting and contain one or more components that are preferably substantially stable (i.e. no substantial crosslinking) during: 1) soft-bake, pre-exposure thermal treatment to remove solvent carrier of the applied resist, and 2) post-exposure, pre-development thermal treatment to promote or enhance the acid-promoted reaction in exposed regions (typically a de-blocking reaction). However, resists of the invention will crosslink during a post-development more stringent thermal treatment (thermal flow hard-bake step).
    Type: Grant
    Filed: April 3, 2001
    Date of Patent: December 7, 2004
    Assignee: Shipley Company, L.L.C.
    Inventor: Timothy G. Adams
  • Publication number: 20040241594
    Abstract: A method for removing color resist from an exposure alignment mark. In an embodiment, a color resist layer is formed over a color filter substrate with an alignment mark thereon. A concentric tube system is provided with an outer tube surrounding an inner tube. A solvent is injected via the inner tube to contact and dissolve the color resist directly overlying the alignment mark. The dissolved color resist is extracted by the outer tube of the concentric tube system to expose the alignment mark. By repeating the dissolving and extraction steps, all alignment marks on the color filter substrate are consequently uncovered for subsequent exposure alignment.
    Type: Application
    Filed: May 6, 2004
    Publication date: December 2, 2004
    Inventor: Chi-Ming Cheng
  • Publication number: 20040241561
    Abstract: A method of determining temperatures at localized regions of a substrate during processing of the substrate in a photolithography process includes the following steps: independently illuminating a photoresist layer including a photoresist pattern at a plurality of locations on the substrate with a light source, so that light is diffracted off the plurality of locations of the photoresist pattern; measuring the diffracted light from the plurality of locations to determine measured diffracted values associated with respective locations from the plurality of locations; and comparing the measured diffracted values against a library to determine a pre-illumination process temperature of the photoresist layer at the plurality of locations.
    Type: Application
    Filed: May 28, 2003
    Publication date: December 2, 2004
    Inventors: Li-Jui Chen, Chih-Ming Ke, Bang-Ching Ho, Jen-Chieh Shih, Tsai-Sheng Gau
  • Patent number: 6815142
    Abstract: A method for forming resist patterns, and an overlying layer material and a semiconductor device used in the method for forming resist patterns, which can improve the dimensional uniformity of resist patterns by inhibiting the multiple interference of light beams within a resist film; improve the environmental resistance in a clean room or the like; and obtain resist patterns having rectangular sectional shapes by preventing the acid in the overlying layer material from diffusing into the resist. By using an overlying layer material containing a water-soluble low-molecular-weight acidic substance and a water-soluble photo base generator preventing the diffusion of the acidic substance into the resist, the base formed after exposure neutralizes the acids contained in the overlying layer material in nature, and the diffusion of the acid into the resist can be inhibited.
    Type: Grant
    Filed: May 31, 2000
    Date of Patent: November 9, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Yoshika Kimura, Takeo Ishibashi
  • Patent number: 6811956
    Abstract: One aspect of the present invention relates to a system and method for mitigating LER as it may occur on short wavelength photoresists. The method involves forming a short wavelength photoresist over a substrate having at least one dielectric layer formed thereon, exposing the photoresist to a plasma selective to the photoresist to strengthen the photoresist without substantially etching the at least one dielectric layer, the plasma comprising hydrogen, helium and argon, and etching the dielectric layer through openings of the strengthened photoresist with an etchant selective to the at least one dielectric layer, whereby the treated photoresist is substantially resistant to etching effects of the etchant. The system includes a photoresist monitor system for monitoring the plasma treatment to determine whether the photoresist has been strengthened and for adjusting parameters associated with the plasma treatment and for providing feedback to the plasma treatment system.
    Type: Grant
    Filed: June 24, 2002
    Date of Patent: November 2, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Calvin T. Gabriel
  • Publication number: 20040214109
    Abstract: As feature sizes approach 0.1 &mgr;m or smaller, reduction of line edge roughness (LER) becomes increasingly important. Significant reductions in edge roughness have been achieved by applying a second Ebeam exposure after the initial one thatis used to define the pattern. After this second blanket exposure a longer heat treatment and a stronger development process than before are used. In addition to reducing edge roughness the disclosed treatment allows the CD to be reduced under tight control since the amount of CD reduction is proportional to the second Ebeam dosage.
    Type: Application
    Filed: April 22, 2003
    Publication date: October 28, 2004
    Applicant: Headway Technologies, Inc.
    Inventors: Chao Peng Chen, Chunping Luo, Stuart Kao, Jei-Wei Chang
  • Publication number: 20040197713
    Abstract: A system and method are described for modifying an exposure image in a radiation sensitive layer by treating the exposure image with a heterogeneous and non-uniform post exposure thermal treatment. The treatment may comprise providing different portions of the exposure feature, such as different exposure features or critical dimensions, with different thermal fluxes from a thermal modification system, such as a post exposure bake oven or hot plate configured to provide different thermal fluxes. The thermal modification system may comprise one or more adjustable spacers to adjust a radiant energy flux from a thermal energy source to the radiation sensitive layer by adjusting a separation distance between the source and the layer.
    Type: Application
    Filed: April 23, 2004
    Publication date: October 7, 2004
    Inventors: Takeshi Ohfuji, Hiroyuki Inomata, Shiho Sasaki, Masa-aki Kurihara
  • Patent number: 6800426
    Abstract: A process for forming a negative image useful as a printing plate is disclosed. A photosensitive assembly that comprises (a) a hydrophilic support, (b) a first layer that comprises a polymer that is soluble or dispersible in an aqueous alkaline solution, (c) a second layer that comprises at least one o-quinonediazide compound, and (d) an infrared absorbing compound is: (1) flood exposed with ultraviolet radiation; (2) imagewise exposed with infrared laser radiation; and (3) developed to produce the negative image.
    Type: Grant
    Filed: December 13, 2001
    Date of Patent: October 5, 2004
    Assignee: Kodak Polychrome Graphics LLC
    Inventors: Kevin Barry Ray, Anthony Paul Kitson
  • Patent number: 6800415
    Abstract: The invention relates to a novel negative, aqueous photoresist composition comprising a polyvinylacetal polymer, a water-soluble photoactive compound and a crosslinking agent. The water-soluble photoactive compound is preferably a sulfonium salt. The invention also relates to forming a negative image from the novel photoresist composition.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: October 5, 2004
    Assignee: Clariant Finance (BVI) Ltd
    Inventors: Ping-Hung Lu, Mark O. Neisser, Ralph R. Dammel, Hengpeng Wu
  • Patent number: 6790599
    Abstract: Planar microfluidic devices are laminate structures having a matrix layer at an upper side laminated at an interface to a lower substrate layer. The structure has one or more cavities extending from the upper side to the interface. A membrane is laminated on the upper side of the structure thereby to form a fluid barrier for the cavities. Devices for use as electrochemical sensors further include an electrode at the laminate structure interface below the matrix layer cavity and a well through the substrate layer below the electrode for electrical communication.
    Type: Grant
    Filed: July 13, 2000
    Date of Patent: September 14, 2004
    Assignee: Microbionics, Inc.
    Inventor: Marc J. Madou
  • Patent number: 6787290
    Abstract: The invention discloses a crosslinked chemical-amplification positive-working photoresist composition of good pattern resolution and storage stability, which is suitable for pattern size diminution by the thermal flow process after development. The composition comprises: (A) a polyhydroxystyrene resin substituted for a part of the hydroxyl hydrogen atoms by acid-dissociable solubility-reducing groups; (B) a radiation-sensitive acid-generating compound; (C) a polyvinyl ether compound as a crosslinking agent; (D) a carboxylic acid; and (E) an amine compound.
    Type: Grant
    Filed: August 14, 2001
    Date of Patent: September 7, 2004
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Kazuyuki Nitta, Kazufumi Sato, Daisuke Kawana, Satoshi Shimatani
  • Publication number: 20040152024
    Abstract: It has now been surprisingly found that by exposing a photoresist to flood electron beam exposure in combination with optical exposure, that the pullback on the upper region of lithographic images in resist can be virtually eliminated during electron beam processing. This unexpected result is due to the fact that the electron beam exposure and optional bake are carried out prior to development of the resist. This means that the resist shrinkage that is seen as a result of these steps is constrained laterally by the resist film itself. Thus, the resist is free to shrink vertically, and the resulting shrinkage provides a reduction in the line slimming and an improvement in the etch rate of the resist. This leads to the formation of a better resist image.
    Type: Application
    Filed: January 31, 2003
    Publication date: August 5, 2004
    Inventors: William R. Livesay, Matthew F. Ross
  • Patent number: 6770419
    Abstract: The silicon-containing resist compositions which have low silicon outgassing and high resolution lithographic performance, especially in bilayer or multilayer lithographic applications using 193 nm or shorter wavelength imaging radiation are enabled by the presence of an imaging polymer having silicon-containing, non-acid-labile pendant groups. The resist compositions of the invention are preferably further characterized by the substantial absence of silicon-containing acid-labile moieties.
    Type: Grant
    Filed: September 11, 2002
    Date of Patent: August 3, 2004
    Assignee: International Business Machines Corporation
    Inventors: Mahmoud M. Khojasteh, Ranee W. Kwong, Kuang-Jung Chen, Pushkara Rao Varanasi, Robert D. Allen, Phillip Brock, Frances Houle, Ratnam Sooriyakumaran
  • Patent number: 6767676
    Abstract: The optical functional element contains aggregates of developed silver grains obtained by developing silver halide grains arranged so as to constitute a periodical structure. The element includes a substrate and a medium layer. The aggregates of the developed silver grains are arranged in the medium layer so as to constitute said periodical structure. The element is produced by first selectively exposing a photo-curing resin layer formed on said substrate in which the silver halide grains are dispersed so as to selectively photo-cure the photo-curing resin layer, then overall exposing the photo-curing resin layer to expose the silver halide grains in the photo-curing resin layer, and thereafter developing the photo-curing resin layer.
    Type: Grant
    Filed: March 26, 2003
    Date of Patent: July 27, 2004
    Assignee: Fuji Photo Film Co., Ltd.
    Inventor: Kimitoshi Nagao
  • Patent number: 6764807
    Abstract: A planographic printing member precursor comprises a first component, for example a hydroxy group containing polymer, and a second component which may be a siloxane or a compound of general formula (I), wherein M represents a silicon or a titanium atom and each of R1, R2, R3 and R4 is independently selected from hydrogen or halogen atoms; a hydroxy group; an optionally substituted alkyl, alkenyl or alkynyl group; an optionally substituted alkoxy group; or an optionally substituted saturated or unsaturated cyclic or heterocyclic group. On exposure, the second component reacts with the first component to define an oleophobic/hydrophilic material in exposed areas and in non-exposed areas the second component is removed, on processing of the precursor.
    Type: Grant
    Filed: September 5, 2001
    Date of Patent: July 20, 2004
    Assignee: Kodak Polychrome Graphics LLC
    Inventors: Kevin Barry Ray, Mark John Spowage, Christopher David McCullough
  • Publication number: 20040137362
    Abstract: Novel copolymers suitable for forming the top layer photoimagable coating in a deep UV, particularly a 193 nm and 248 nm, bilayer resist system providing high resolution photolithography. Chemically amplified photoresist composition and organosilicon moieties suitable for use in the binder resin for photoimagable etching resistant photoresist composition that is suitable as a material for use in ArF and KrF photolithography using the novel copolymers.
    Type: Application
    Filed: October 31, 2003
    Publication date: July 15, 2004
    Applicant: ARCH SPECIALTY CHEMICALS, INC.
    Inventors: Binod B. De, Sanjay Malik, Stephanie J. Dilocker, Ognian N. Dimov
  • Publication number: 20040131965
    Abstract: TIMD (tetraisoprophyl methylene diphosphonate) as a light absorbance depressant to a light source of a wavelength of less than 200 nm, and a photoresist composition containing the same are disclosed. The disclosed chemically amplified photoresist composition containing TIMD is useful for a VUV (vacuum ultraviolet) photoresist composition due to its low light absorbance to a light source of a wavelength of 157 mn.
    Type: Application
    Filed: June 30, 2003
    Publication date: July 8, 2004
    Inventor: Geun Su Lee
  • Patent number: 6753129
    Abstract: A method for increasing the etch resistance of positive working chemically amplified photoresist such as 193 nanometer sensitive photoresist, 157 nanometer sensitive photoresist, and deep-UV 248 nanometer sensitive photoresist while improving and maintaining fidelity of lithographic features and critical dimensions. The method involves coating and drying a photosensitive composition onto a substrate. The photosensitive composition comprises a water insoluble, acid decomposable polymer which is substantially transparent to ultraviolet or x-ray radiation and a compound capable of generating an acid upon exposure to sufficient activating ultraviolet, electron beam or x-ray radiation energy.
    Type: Grant
    Filed: March 4, 2002
    Date of Patent: June 22, 2004
    Assignee: Applied Materials Inc.
    Inventors: William R. Livesay, Matthew F. Ross, Richard L. Ross
  • Patent number: 6749988
    Abstract: Novel amine compounds having a nitrogen-containing cyclic structure and a hydrating group such as a hydroxy, ether, ester, carbonyl, carbonate group or lactone ring are useful for use in resist compositions for preventing a resist film from thinning and also for enhancing the resolution and focus margin of resist.
    Type: Grant
    Filed: November 28, 2001
    Date of Patent: June 15, 2004
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Tomohiro Kobayashi, Takeru Watanabe, Takeshi Nagata
  • Patent number: 6746817
    Abstract: A polymer comprises recurring units of formula (1) and recurring units having acid labile groups which units increase alkali solubility as a result of the acid labile groups being decomposed under the action of acid, and has a Mw of 1,000-500,000. R1 and R2 each are hydrogen, hydroxyl, hydroxyalkyl, alkyl, alkoxy or halogen, and n is 0, 1, 2, 3 or 4. The polymer is useful as a base resin to form a chemically amplified, positive resist composition which has advantages including a significantly enhanced contrast of alkali dissolution rate before and after exposure, high sensitivity, high resolution, and high etching resistance and is best suited as a micropatterning material for use in VLSI manufacture.
    Type: Grant
    Filed: October 31, 2001
    Date of Patent: June 8, 2004
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takanobu Takeda, Jun Hatakeyama, Osamu Watanabe, Hiroshi Kubota
  • Publication number: 20040106067
    Abstract: A method for shrinking critical dimension of semiconductor devices includes forming a first pattern of a photoresist layer on a semiconductor device layer, by performing a blanket exposing process to expose the photoresist layer and the exposed semiconductor device layer to light having a wavelength that can be absorbed by the photoresist layer to provide the photoresist layer with a predetermined energy per unit area, thereby producing photo generated acids therein. A first thermal process is performed to diffuse the photo-generated acids formed within the photoresist layer and to equalize glass transition temperature (Tg) of the photoresist layer. A second thermal process is thereafter carried out. The first thermal process is carried out under a temperature lower than Tg of the photoresist layer.
    Type: Application
    Filed: November 28, 2002
    Publication date: June 3, 2004
    Inventors: Benjamin Szu-Min Lin, Hui-Ling Huang
  • Publication number: 20040106064
    Abstract: A polymer used for a negative type resist composition having a first repeating unit of a Si-containing monomer unit, a second repeating unit having a hydroxy group or an epoxy ring and copolymerized with the first repeating unit is provided.
    Type: Application
    Filed: November 6, 2003
    Publication date: June 3, 2004
    Applicant: Samsung Electronics Co., Ltd.
    Inventor: Sang-Jun Choi
  • Patent number: 6743564
    Abstract: Amine compounds having a cyano group are useful in resist compositions for preventing a resist film from thinning and also for enhancing the resolution and focus margin of resist.
    Type: Grant
    Filed: December 6, 2001
    Date of Patent: June 1, 2004
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Tomohiro Kobayashi, Takeru Watanabe
  • Publication number: 20040099284
    Abstract: A line slit nozzle for spraying steam is disposed along a diameter of a resist film. Steam containing a mist is sprayed onto a surface of the resist film. The film is thereby peeled off and removed. By using a change in physical properties (swelling, etc.) of the resist film by water, the film is easily and surely peeled off. Breakaway from much resources/energy consumption type techniques is realized. In other words, realized are environment-symbiosis type techniques by which resist films can be removed independently of the quantity of energy and kinds of chemical solvents.
    Type: Application
    Filed: July 8, 2003
    Publication date: May 27, 2004
    Inventors: Nobuhiro Miki, Takahisa Nitta
  • Publication number: 20040101787
    Abstract: There is provided a method of forming a fine resist pattern in which a highly practicable photo-sensitive composition obtained from a material having a high transparency against an exposure light having a short wavelength such as F2 excimer laser beam is used as a resist, and the method of forming a fine resist pattern comprises a step for forming a photo-sensitive layer on a substrate or on a given layer on a substrate using a photo-sensitive composition comprising at least a compound generating an acid by irradiation of light and a compound having fluorine atom in its molecular structure, a step for exposing by selectively irradiating a given area of said photo-sensitive layer with energy ray, a step for heat-treating the exposed photo-sensitive layer, and a step for forming a fine pattern by developing the heat-treated photo-sensitive layer to selectively remove the exposed portion or un-exposed portion of the photo-sensitive layer.
    Type: Application
    Filed: September 8, 2003
    Publication date: May 27, 2004
    Inventors: Takuya Naito, Seiichi Ishikawa, Minoru Toriumi, Seiro Miyoshi, Tamio Yamazaki, Manabu Watanabe, Toshiro Itani, Takayuki Araki, Meiten Koh
  • Patent number: 6739039
    Abstract: Disclosed is a manufacturing method of a circuit of a printed circuit board using a dry film resist in forming a circuit pattern on a copper overlaid laminate as a normal printed circuit board, in which a modification of the manufacturing process can enhance the resolution and fine weldability of the resist to realize a fine structure of the circuit pattern. The method includes: laminating the dry film resist on the top surface of the printed circuit board; exposing the dry film resist to ultraviolet (UV) radiations using a photomask in which a desired circuit pattern is formed; annealing the resulting material of the previous step with infrared (IR) radiations; and removing unexposed areas of the resist by development.
    Type: Grant
    Filed: December 18, 2001
    Date of Patent: May 25, 2004
    Assignee: Kolon Industries Inc.
    Inventors: Jun Hyeak Choi, Kook Hyeon Han, Jan Hun Kim
  • Publication number: 20040096757
    Abstract: An object of the present invention is to provide a photosensitive resin composition or a photosensitive resist for color filters which is superior in heat resistance, water resistance, solvent resistance, chemical resistance, and also transparency, and a method for producing color filters using them. The present invention relates to a photosensitive resin composition comprising a vinyl polymer (A) having at least one cyclocarbonate group and at least one carboxyl group in the molecule and a compound (B) having at least two ethylenically unsaturated double bonds in the molecule as a main component, which can introduce crosslinked structures by photocuring and thermosetting, a photosensitive resist for color filters comprising the photosensitive resin composition and a colorant, and a method for producing a color filter, using the photosensitive resist for color filters.
    Type: Application
    Filed: September 25, 2003
    Publication date: May 20, 2004
    Inventors: Hiroyuki Tokuda, Yasunobu Hirota, Hidenobu Ishikawa
  • Publication number: 20040091793
    Abstract: A photomask includes a main mask pattern having first chip patterns and having a first size corresponding to a maximum exposure area of a projection exposure apparatus. The mask further includes a sub-mask pattern having second chip patterns different from the first chip patterns, having a second size smaller than the first size, and arranged adjacently to the main mask pattern.
    Type: Application
    Filed: September 30, 2003
    Publication date: May 13, 2004
    Inventor: Tuguto Maruko
  • Publication number: 20040086786
    Abstract: A method for forming a patterned microelectronics layer employing electron beam lithography in a sensitive material upon a substrate with optimal correction for proximity effects resulting from electron back scattering into the resist material. There is provided a substrate having formed thereon a layer of resist material sensitive to electron beam exposure. There is then exposed the sensitive layer to a vector scan shaped electron beam to write a primary pattern with dose correction of the beam dose for proximity effects due to electron scattering at each point in the primary pattern There is then written a secondary pattern which is a negative reversed image of the primary pattern in a secondary exposure employing a vector scan shaped focused electron beam at an exposure dose substantially below the primary beam dose, there being provided a gap between the primary pattern and the secondary pattern.
    Type: Application
    Filed: November 1, 2002
    Publication date: May 6, 2004
    Applicant: Taiwan Semiconductor Manufacturing Company
    Inventors: San-De Tzu, Ching Shiun Chiu, Wei-Zen Chou, Chia Fang Wu
  • Patent number: 6730458
    Abstract: A method for forming fine patterns, particularly contact holes, on semiconductor devices by forming an ArF resist pattern and then reducing the size of pattern openings by exposing the resist pattern to radiation from an VUV (vacuum ultraviolet) excimer laser or E-beam radiation during thermal treatment to reduce, temporarily, the Tg of the resist pattern and allow it to flow, thereby reducing the size of the spaces and openings in the pattern.
    Type: Grant
    Filed: March 3, 2003
    Date of Patent: May 4, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyunwoo Kim, Sanggyun Woo
  • Patent number: 6730447
    Abstract: A laser processing apparatus comprises a laser oscillator for producing a laser beam to selectively remove part of a substrate to be processed, a scanning system for applying the laser beam to an arbitrary position of the substrate and incident means for applying the laser beam to the substrate substantially at right angle.
    Type: Grant
    Filed: March 8, 2002
    Date of Patent: May 4, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Shinichi Ito, Tatsuhiko Higashiki, Hiroshi Ikegami, Nobuo Hayasaka
  • Publication number: 20040081908
    Abstract: Vesicular images are formed by thermal imaging of imageable layers containing thermally imageable vesicular imaging compositions. The vesicular images can be used as masks for imaging printing plate precursors. In one aspect, a printing plate precursor made up of a flexible substrate; a photosensitive layer that contains a negative working photosensitive composition; an optional barrier layer; and the imageable layer is thermally imaged to produce an integral mask. Blanket exposure through the mask with ultraviolet and/or visible radiation followed by development produces a printing plate. The method is especially suited for the production of flexographic printing plates.
    Type: Application
    Filed: January 23, 2003
    Publication date: April 29, 2004
    Inventors: Ken-Ichi Shimazu, Kevin B. Ray, John Kalamen
  • Publication number: 20040072095
    Abstract: Radiation sensitive compositions for use in producing a patterned image on a substrate comprise:
    Type: Application
    Filed: June 19, 2003
    Publication date: April 15, 2004
    Applicant: Arch Speciality Chemical, Inc.
    Inventors: David Brzozowy, Kocab J. Thomas, John P. Hatfield, Lawrence Ferreira, Andrew Blakeney
  • Publication number: 20040067436
    Abstract: Polymerizable silicon-containing compounds of formula (1) wherein R1 is hydrogen, halogen or monovalent organic group are polymerized into polymers. A resist composition comprising the polymer as a base resin is sensitive to high-energy radiation, has excellent sensitivity and resolution at a wavelength of less than 300 nm, and high resistance to oxygen plasma etching, and thus lends itself to micropatterning for the fabrication of VLSIs.
    Type: Application
    Filed: September 29, 2003
    Publication date: April 8, 2004
    Inventors: Takeshi Kinsho, Takeru Watanabe, Koji Hasegawa
  • Patent number: 6716570
    Abstract: A process is described for trimming photoresist patterns during the fabrication of integrated circuits for semiconductor devices and MEMS devices. A combination of a low temperature (<20° C.), high density oxygen and argon plasma and intense UV radiation is used to simultaneously trim and harden a photoresist linewidth in an ICP chamber. As an alternative, a UV hardening step can be performed in a flood exposure tool prior to the ICP plasma etch. Another option is to perform the argon plasma treatment first to harden the resist and then in a second step apply an oxygen plasma to trim the photoresist. Vertical and horizontal etch rates are decreased in a controllable manner which is useful for producing gate lengths in MOS transistors of less than 100 nm. The process can also be used to controllably increase a space width in a photoresist feature.
    Type: Grant
    Filed: May 23, 2002
    Date of Patent: April 6, 2004
    Assignee: Institute of Microelectronics
    Inventors: Ranganathan Nagarajan, Shajan Mathew, Lakshmi Kanta Bera
  • Patent number: 6713239
    Abstract: A solution having a photosensitive radical is applied onto a resist film, a developing solution is applied thereonto, and the entire surface of the solution having the photosensitive radical is exposed all at once. Developing of the resist film progresses all at once after a coating film of the solution having the photosensitive radical dissolves in the developing solution, and hence time difference in the start time of developing does not occur in the surface of a substrate, thereby enabling uniform developing and an improvement in line width uniformity (CD value uniformity) in the surface of the substrate.
    Type: Grant
    Filed: March 29, 2002
    Date of Patent: March 30, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Takayuki Toshima, Tsutae Omori, Yoshio Kimura
  • Publication number: 20040058277
    Abstract: In an embodiment, a trench is formed above a via from a photo resist (PR) trench pattern in a dielectric layer. The trench is defined by two sidewall portions and base portions. The base portions of the sidewalls are locally treated by a post treatment using the PR trench pattern as mask to enhance mechanical strength of portions of the dielectric layer underneath the base portions. Seed and barrier layers are deposited on the trench and the via. The trench and via are filled with a metal layer. In another embodiment, a trench is formed from a PR trench pattern in a dielectric layer. A pillar PR is deposited and etched to define a pillar opening having a pillar surface. The pillar opening is locally treated on the pillar surface by a post treatment to enhance mechanical strength of portion of the dielectric layer underneath the pillar surface.
    Type: Application
    Filed: September 24, 2002
    Publication date: March 25, 2004
    Inventors: Jun He, Jihperng Leu
  • Patent number: 6709807
    Abstract: A process for reducing roughness from a surface of a patterned photoresist. The process includes exposing a substrate having the patterned photoresist thereon to a vapor, wherein the vapor penetrates into and/or reacts with the surface of the photoresist. The substrate having the patterned photoresist thereon is then heated to a temperature and for a time sufficient to cause the surface of the photoresist to flow and/or react with the vapor wherein the surface roughness decreases. Optionally, the substrate is exposed to radiation during the process to increase the etch resistance of the photoresist and/or facilitate the reaction of the vapor with the surface of the photoresist.
    Type: Grant
    Filed: October 9, 2002
    Date of Patent: March 23, 2004
    Assignee: Axcelis Technologies, Inc.
    Inventors: John S. Hallock, Robert D. Mohondro
  • Patent number: 6706452
    Abstract: Disclosed is a technique capable of connecting patterns of a master mask easily. Integrated circuit patterns are transferred onto pattern transfer regions of a product mask by the reduced projection exposure using a plurality of IP masks. Thereafter, the patterns of the adjacent pattern transfer regions are connected by a light-shielding pattern made of an organic film, which is formed by the exposure using an energy beam.
    Type: Grant
    Filed: December 27, 2001
    Date of Patent: March 16, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Katsuya Hayano, Norio Hasegawa
  • Publication number: 20040048171
    Abstract: In the method according to the invention (“lift-off method”) for producing a unit (I) which is to have a three-dimensional surface patterning on a base layer (3), in a first method step a photoresist is applied to the base layer (3) in order to produce a photoresist layer (9). In a second method step, the photoresist layer (9) is subjected to masked exposure (13) which is matched to a predetermined final surface patterning. In a third method step, parts of the photoresist layer (9) are removed by developing, so that an initial surface patterning which includes photoresist subregions (25) as sacrificial layer regions is obtained. In a fourth method step, a coating (29, 31) which covers the initial surface patterning which has now been obtained is applied, in particular by sputtering, preferably as an alternating layer system. In a fifth method step, energy is applied to the initial surface patterning in order to destabilize the sacrificial layer regions (25).
    Type: Application
    Filed: May 5, 2003
    Publication date: March 11, 2004
    Inventors: Patrick Grabher, Claus Heine-Kempkens, Roger Bischofberger
  • Publication number: 20040043336
    Abstract: A manufacturing line for manufacturing a print belt comprising a support-forming strip and a photopolymerized resin forming printing characters, the line comprises spreader means adapted to spread the resin on the strip, photopolymerizer means adapted to solidify that portion of the resin that is to correspond to the printing characters, remover means adapted to remove the non-photopolymerized resin from the strip, and drive means adapted to drive the strip in translation in such a manner that each of its transverse sections passes in succession in register with the spreader means, the photopolymerizer means, and the remover means.
    Type: Application
    Filed: June 6, 2003
    Publication date: March 4, 2004
    Inventors: Arnaud De Ponnat, Mickael Bernou, Damien Leleu, Faye Renaud
  • Publication number: 20040038134
    Abstract: The invention encompasses a radiation-patterning tool. The tool is configured to be utilized to print a pair of structures in a radiation-sensitive material. The tool includes two separate and discrete features, with one of the features corresponding to one of the structures of the pair of structures and the other of the two features correspond to the other of the structures. At least one element is between the features. The at least one element is at least partially transparent to radiation passing through the radiation-patterning tool, but does not correspond to a discrete structure printed in the radiation-sensitive material. The element modifies the structures printed from the pair of features. The invention also includes printing methods and methods of forming aligned structures with radiation-sensitive material.
    Type: Application
    Filed: August 21, 2002
    Publication date: February 26, 2004
    Inventors: H. Daniel Dulman, William A. Stanton
  • Patent number: 6692893
    Abstract: Onium salts of arylsulfonyloxynaphthalenesulfonate anions with iodonium or sulfonium cations are novel. A chemically amplified resist composition comprising the onium salt as a photoacid generator is suited for microfabrication, especially by deep UV lithography because of many advantages including improved resolution, improved focal latitude, minimized line width variation or shape degradation even on long-term PED, minimized debris after coating, development and peeling, and improved pattern profile after development.
    Type: Grant
    Filed: October 23, 2001
    Date of Patent: February 17, 2004
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Youichi Ohsawa, Jun Watanabe, Takeshi Nagata, Jun Hatakeyama
  • Publication number: 20040029047
    Abstract: A micropattern forming material comprises a polar change material formed on a resist pattern capable of generating an acid, the polar change material being soluble in water or an alkali, a portion of the polar change material in contact with the resist pattern undergoing a polar change caused by the acid from the resist pattern to form an insolubilized film insoluble in water and the alkali; and water or a mixed solvent of water and a water-soluble organic solvent.
    Type: Application
    Filed: May 13, 2003
    Publication date: February 12, 2004
    Applicant: Renesas Technology Corp.
    Inventors: Takeo Ishibashi, Toshiyuki Toyoshima, Mamoru Terai, Shinji Tarutani
  • Publication number: 20040029026
    Abstract: There is disclosed a substrate treating method comprising supplying a treating solution onto a substrate, and continuously discharging a first cleaning solution to the substrate from a first discharge region disposed in a nozzle, while moving the nozzle and substrate with respect to each other in one direction, wherein a length of a direction crossing at right angles to the direction of the first discharge region is equal to or more than a maximum diameter or longest side of the substrate, the nozzle continuously spouts a first gas to the substrate from a first jet region, and the length of a direction crossing at right angles to the direction of the first jet region is equal to or more than the maximum diameter or longest side of the substrate.
    Type: Application
    Filed: January 27, 2003
    Publication date: February 12, 2004
    Inventors: Kei Hayasaki, Shinichi Ito, Tatsuhiko Ema, Riichiro Takahashi
  • Patent number: 6689521
    Abstract: The present invention provides for a method and an apparatus for controlling plasma photoresist removal processes. At least one manufacturing run of semiconductor devices is processed. Environmental data is acquired in response to processing the semiconductor devices. Metrology data is acquired in response to processing the semiconductor devices. The method further comprises performing residual gas analysis based upon the acquired environmental data and the acquired metrology data.
    Type: Grant
    Filed: March 15, 2002
    Date of Patent: February 10, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Greg Goodwin
  • Patent number: 6686130
    Abstract: In the light exposure step of the device pattern, the monitor region is exposed to light together with the device region for every chip, and chip {circle around (4)} within the wafer, the chip {circle around (4)} having the focus conditions in the light exposure step close to a set value and having an average value of the dose, is extracted after the light exposure of the device pattern and before the developing treatment. The monitor region arranged within the extracted chip {circle around (4)} is irradiated with light during the development of the resist, and the stopping time of the development for finishing the device pattern in a desired size is estimated on the basis of the change in the intensity of the reflected light of the monitor region. Further, a developing solution is supplied onto the wafer during the estimated stopping time of the development so as to stop the development.
    Type: Grant
    Filed: June 29, 2001
    Date of Patent: February 3, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kei Hayasaki, Shinichi Ito
  • Patent number: 6686122
    Abstract: Process for the production of a resist coating, in which (a) a substrate is coated with a resist composition which comprises at least one component which absorbs radiation in the near infrared region with warming of the coating; and (b) the resist composition or a composition derived therefrom and obtained during the process is subjected at least once during the process to thermal treatment with the aid of radiation in the near infrared region.
    Type: Grant
    Filed: June 21, 2001
    Date of Patent: February 3, 2004
    Assignee: Vantico Inc.
    Inventor: Frans Setiabudi
  • Publication number: 20040009437
    Abstract: A method of forming a photoresist includes forming a photoresist and patterning/developing it according to conventional methods. The photoresist is then subjected to ion implantation. The ions may be selected from the group consisting of argon, boron, boron fluoride, arsenic, phosphorous and nitrogen. The ion implantation during processing of the photoresist provides a stabilized photoresist and helps reduce CD loss, loss of the photoresist and formation of pin holes and striations.
    Type: Application
    Filed: July 10, 2002
    Publication date: January 15, 2004
    Inventors: Jun Sung Chun, Mehran Sedigh, Christ Ford