Post Imaging Radiant Energy Exposure Patents (Class 430/328)
  • Patent number: 6107002
    Abstract: A method of fabricating an electronic device includes forming a pattern of a resist material on a substrate. The resist includes a polymer and an acid-generating agent. The resist pattern is exposed to radiation to activate the acid-generating agent, and a neutralizing agent is provided to reduce the bond-breaking activity of the acid with respect to protective groups attached to the resist polymer. The substrate can subsequently be etched with the resist pattern defining an etch mask. By activating the acid-generating agent in the resist pattern and neutralizing the acid prior to performing an RIE or other dry etch, shrinkage of the resist pattern during the etch process can be reduced or eliminated.
    Type: Grant
    Filed: October 29, 1998
    Date of Patent: August 22, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Richard D. Holscher, Ardavan Niroomand
  • Patent number: 6107008
    Abstract: An object comprised of a curable material and formed by stereolithography or another three-dimensional prototyping method, in which the object has undergone initial curing, is subjected to post-curing by ionizing radiation, such as an electron beam having a predetermined beam output energy, which is applied in a predetermined dosage and at a predetermined dose rate. The post-cured object exhibits a property profile which is superior to that which existed prior to the ionizing radiation post-curing.
    Type: Grant
    Filed: August 29, 1997
    Date of Patent: August 22, 2000
    Assignees: Lockheed Martin Energy Research, Lockheed Martin Energy Systems, Inc.
    Inventors: David H. Howell, Claude C. Eberle, Christopher J. Janke
  • Patent number: 6100012
    Abstract: A photolithographic process for use in semiconductor device manufacturing that includes an infrared radiation-based post exposure bake (PEB) of a chemically amplified resist layer and that improves critical dimension control of a patterned resist layer. The use of infrared radiation with a wavenumber that is preferentially absorbed in the DUV-exposed regions of the chemically amplified resist selectively increases the temperature of the DUV-exposed regions, while maintaining the temperature in the DUV-unexposed regions relatively low. The increased temperature initiates and accelerates acid catalyzed chemical transformation of the resist polymer in the DUV-exposed regions. The lower temperature in the DUV-unexposed regions suppresses the diffusion/migration of acid catalyst into those regions from the DUV-exposed regions.
    Type: Grant
    Filed: July 6, 1998
    Date of Patent: August 8, 2000
    Assignee: National Semiconductor Corporation
    Inventor: Xuelong Shi
  • Patent number: 6087073
    Abstract: There is described a method of preparing a water-less lithographic plate by coating a positive working photosensitive composition onto an oleophilic base imagewise exposing the plate and developing it to remove the areas of the photosensitive composition which have been light exposed, coating overall the surface of the plate with a layer of a composition which is ink-releasing or when cured becomes ink releasing, then either as a separate step or as a combined step curing the ink-releasing composition or drying the ink-releasing composition and light exposing overall the plate, then redeveloping the plate to remove the photosensitive composition remaining after the first development and any ink-releasing composition overlying the photosensitive composition.
    Type: Grant
    Filed: September 18, 1997
    Date of Patent: July 11, 2000
    Assignee: Kodak Polychrome Graphics L.L.C.
    Inventors: Peter Andrew Reath Bennett, Carole-Anne Smith
  • Patent number: 6087071
    Abstract: A process for curing a resist in which a resist is cured quickly and with high efficiency without gas, which is formed by irradiation with electron beams with which the resist is irradiated for curing, remaining in it and thereby increase its thermostability, is achieved by the following process steps:a first, the resist is irradiated with electron beams and kept at a temperature such that gas is produced and released in the resist, but no gas bubbles are formed in the resist;second, the resist is heated so that the gas produced in the first process step is dissipated to the outside from the resist; andthird, the resist is irradiated with electron beams so that macromolecules are formed in the resist and the resist is cured.
    Type: Grant
    Filed: September 13, 1999
    Date of Patent: July 11, 2000
    Assignee: Ushiodenki Kabushiki Kaisha
    Inventor: Minoru Komori
  • Patent number: 6068954
    Abstract: In one embodiment, a photomask is provided having a set of alignment pattern openings and circuitry openings formed therethrough. With the photomask, the substrate is first photoexposed through one of the set of alignment pattern openings and the circuitry openings and not the other. After the first exposing, the substrate is second exposed through the other of the openings on the photomask. In another embodiment, a latent image of an alignment pattern is formed and received by a masking layer over a substrate. The position of the latent image of the alignment pattern is inspected relative to an underlying layer of material over the substrate. Alignment is ascertained through inspection of the latent image relative to the underlying layer of material. In another embodiment, an undeveloped photoresist layer is formed over a substrate.
    Type: Grant
    Filed: September 1, 1998
    Date of Patent: May 30, 2000
    Assignee: Micron Technology, Inc.
    Inventor: Doug David
  • Patent number: 6060215
    Abstract: A photosensitive resin composition comprising (A) a resin having an amide bond, an oxyalkylene group and a carboxyl group, (B) a photopolymerizable compound having an ethylenically unsaturated group and (C) a photopolymerization initiator has an alkali developability, good sensitivity and photocurability, an efficient pattern formability by photolithography, a good application workability to a film and is capable of producing cured products having good folding endurance, solder reflow heat resistance, solvent resistance, bondability and nonflammability and suitable for producing a photosensitive element, a photosensitive laminate and a flexible printed circuit board.
    Type: Grant
    Filed: March 27, 1998
    Date of Patent: May 9, 2000
    Assignee: Hitachi, Ltd.
    Inventors: Jin Amanokura, Fumihiko Ota, Ritsuko Obata, Toshihiko Akahori, Kenji Suzuki, Hiroshi Nishizawa, Katsunori Tsuchiya, Takao Hirayama, Hiroaki Hirakura
  • Patent number: 6057081
    Abstract: In order that reaction products of low vapor pressure may be prevented from being deposited on the side wall of a predetermined pattern when this pattern is to be formed by dry-etching a Pt film or a PZT film, a resist mask 54 having a rounded outer periphery at its head is used when the Pt film 53 deposited on a semiconductor substrate 50 is to be dry-etched. After this dry-etching, moreover, an overetching of a proper extent is performed to completely remove the side wall deposited film 55 which is left on the side of the pattern. The resist mask 54 is formed by exposing and developing a benzophenone novolak resist and subsequently by heating to set it while irradiating it, if necessary, with ultraviolet rays.
    Type: Grant
    Filed: September 22, 1997
    Date of Patent: May 2, 2000
    Assignee: Hitachi, Ltd.
    Inventors: Takashi Yunogami, Shunji Sasabe, Kazuyuki Suko, Jun Abe, Takao Kumihashi, Fumio Murai
  • Patent number: 6054254
    Abstract: A method of forming a pattern which comprises the steps of forming an underlying film on a work film, forming a resist film on the underlying film, exposing the underlying film and the resist film to a patterning exposure light, and developing predetermined regions thus exposed of the resist film and the underlying film with a developing solution. The underlying film has a property that the solubility thereof to the developing solution can be changed by an action of an acid. The resist film and/or the underlying film contains a compound which is capable of generating the acid.
    Type: Grant
    Filed: July 2, 1998
    Date of Patent: April 25, 2000
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yasuhiko Sato, Yasunobu Onishi
  • Patent number: 6042975
    Abstract: The specification describes a photolithography process using multiple exposures to form z-dimension patterns. Multiple exposures at different thickness levels are made using photomasks aligned with a latent image of alignment marks formed during the first exposure. The latent image is visible to the alignment system of commercial steppers.
    Type: Grant
    Filed: July 8, 1998
    Date of Patent: March 28, 2000
    Assignee: Lucent Technologies Inc.
    Inventors: Jinwook Burm, Robert Alan Hamm, Rose Fasano Kopf, Robert William Ryan, Alaric Tate
  • Patent number: 6040118
    Abstract: A method (100) of providing critical dimension uniformity in a radiation sensitive film (104) includes the steps of forming (102) the radiation sensitive film (104) over a substrate (106) and exposing (110) the radiation sensitive film (104) to radiation (56) using a mask (50) having a pattern thereon, wherein a first feature (52) and a second feature (54) on the mask (50) are intended to provide the same critical dimension on the radiation sensitive film (104). The exposure step (110) creates a non-uniform exposure pattern (60) on the radiation sensitive film (104) corresponding to the mask pattern due to various anomalies in the exposure process or in the mask itself. A transferred first feature (84) critical dimension on the radiation sensitive film (104) which corresponds to the first mask feature (52) is larger than the second transferred feature (86) critical dimension which corresponds to the second mask feature (54) due to the radiation non-uniformities or imaging non-uniformities.
    Type: Grant
    Filed: October 30, 1998
    Date of Patent: March 21, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Luigi Capodieci
  • Patent number: 6033813
    Abstract: Disclosed is a method of manufacturing a color filter using a photoresist containing a dye in a large amount, which is capable of enhancing optical and mechanical characteristics of the color filter and improving the workability. The method includes the steps of: coating a surface of a base with a positive type photoresist containing a dye in an amount of 10 to 50 wt %, and processing the photoresist by pattern-exposure and development to form a dye containing photoresist layer having a specific pattern; and hardening the dye containing photoresist layer to form specific color filter elements; wherein the hardening step includes a step of heating the photoresist layer while letting the photoresist layer be irradiated with ultraviolet light.
    Type: Grant
    Filed: June 12, 1998
    Date of Patent: March 7, 2000
    Assignee: Sony Corporation
    Inventors: Hiroki Endo, Yoshinori Uchida
  • Patent number: 6020107
    Abstract: A rough pattern is formed on a chemically amplified resist by light exposing, and a fine pattern is formed by EB exposing. The resist is heated not only after EB exposing but also after light exposing. After this, the resist on which the rough and the fine patterns are formed is developed. As a result of this, diffusion or deactivation of an acid can be suppressed, and dimensional errors can be reduced.
    Type: Grant
    Filed: September 24, 1998
    Date of Patent: February 1, 2000
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiromi Niiyama, Shinji Sato, Shunko Magoshi
  • Patent number: 6015652
    Abstract: The specification describes a process for applying under bump metallization (UBM) for solder bump interconnections on interconnection substrates. The process uses a lift-off technique for defining the UBM and the lift-off technique has improved edge definition as the result of radiation hardening of the photoresist after lithographic patterning.
    Type: Grant
    Filed: February 27, 1998
    Date of Patent: January 18, 2000
    Assignee: Lucent Technologies Inc.
    Inventors: Louis Nelson Ahlquist, Yinon Degani
  • Patent number: 6004704
    Abstract: A method of making a color filter apparatus prevents the formation of residual portions of resist film used to form a plurality of different color filters included in the color filter apparatus. In the method of forming the color filter apparatus, a surface treatment of a transparent substrate is carried out between the steps of sequentially forming red, green and blue filters on the upper portion of the transparent substrate. The surface treatment prevents the formation of residual portions of resist films at the time of forming the filters.
    Type: Grant
    Filed: March 3, 1998
    Date of Patent: December 21, 1999
    Assignee: LG. Philips LCD Co., Ltd.
    Inventor: Byung Soo Ko
  • Patent number: 5994007
    Abstract: Disclosed is a pattern forming method, comprising the steps of providing a resist film, applying a light exposure to the resist film, with a film directly above the resist film and another film directly below the resist film being made insulative, applying a charged beam exposure to the resist film, with the film directly above the resist film and the other film directly below the resist film being made conductive, and developing the resist film to form a resist pattern.
    Type: Grant
    Filed: December 17, 1998
    Date of Patent: November 30, 1999
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yasuhiko Sato, Atsushi Ando, Yasunobu Onishi, Yoshihiko Nakano, Shuji Hayase, Rikako Kani
  • Patent number: 5994034
    Abstract: A fabrication method of a printed wiring board realizing high adhesion strength of a patterned conductive layer while keeping the high insulation reliability between adjacent conductive paths. First, an adhesive material is prepared, which is a mixture of a photo-setting resin as a matrix, fine particles of a photolyzable resin dispersed in the photo-setting resin, and a metal-organic compound/complex mixed with the photo-setting resin. The adhesive material is coated on an insulative base material to form an adhesive layer. The adhesive layer is then selectively exposed to light, selectively curing the photo-setting resin and selectively photolyzing the particles of the photolyzable resin. The exposed adhesive layer is developed by an alkaline developer solution, thereby transferring the pattern on the mask to the adhesive layer and removing the photolyzed particles for surface roughening of the remaining, unexposed parts of the adhesive layer.
    Type: Grant
    Filed: April 21, 1997
    Date of Patent: November 30, 1999
    Assignee: NEC Corporation
    Inventor: Eiji Maehata
  • Patent number: 5994030
    Abstract: A rough pattern exceeding the resolution limit of light exposure is formed by light resolution. A fine pattern not exceeding the resolution limit of light exposure is formed by charge-beam exposure. Combining the rough pattern and the fine pattern produces a desired pattern. The sharing of the work between light exposure and charge-beam exposure exhibits the high throughput of light exposure and the excellent resolving power of charge-beam exposure.
    Type: Grant
    Filed: February 26, 1998
    Date of Patent: November 30, 1999
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuyoshi Sugihara, Hiromi Niiyama, Shunko Magoshi, Atsushi Ando, Tetsuro Nakasugi, Shinji Sato, Yumi Watanabe, Yosimitu Kato, Toru Shibata, Katsuya Okumura
  • Patent number: 5989781
    Abstract: In accordance with the present invention, there are provided methods for producing printing plates comprising rapid, efficient drying of solvent-containing resin compositions of the printing plates and apparatus useful therefor. Printing plates processed employing invention methods and apparatus are ready for use in printing applications in a relatively short period of time, especially compared to the time required for conventional convection drying. Moreover, printing plates processed according to the present invention method and apparatus are not subjected to excessive levels of heat, as is frequently the case with conventional convection drying. Excessive heating tends to be detrimental to the performance properties of the polymeric resin. In a particular aspect of the present invention, there are provided novel compositions which, upon drying according to the methods described herein, undergo a color change, thereby indicating when the drying process is substantially complete.
    Type: Grant
    Filed: July 25, 1997
    Date of Patent: November 23, 1999
    Assignee: NAPP Systems, Inc.
    Inventors: Michael J. Idacavage, Robert W. Kelsall
  • Patent number: 5990338
    Abstract: Disclosed is a novel positive-working or negative-working chemical-sensitization photoresist composition useful in the photolithographic patterning works for the manufacture of electronic devices. The photoresist composition is characterized by a unique acid-generating agent capable of releasing an acid by the pattern-wise exposure of the resist layer to actinic rays so as to increase or decrease the solubility of the resist layer in an aqueous alkaline developer solution. The acid-generating agent proposed is a novel cyano group-containing oxime sulfonate di- or triester compound represented by the general formulaA[C(CN).dbd.N--O--SO.sub.2 --R].sub.n,in which each R is, independently from the others, an unsubstituted or substituted monovalent hydrocarbon group such as alkyl groups, A is a divalent or tervalent organic group or, preferably, phenylene group and the subscript n is 2, when A is a divalent group, or 3, when A is a tervalent group or, preferably 2.
    Type: Grant
    Filed: October 28, 1998
    Date of Patent: November 23, 1999
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hideo Hada, Yoshiki Sugeta, Hiroyuki Yamazaki, Hiroshi Komano
  • Patent number: 5973187
    Abstract: Disclosed is a novel positive-working or negative-working chemical-sensitization photoresist composition useful in the photolithographic patterning works for the manufacture of electronic devices. The photoresist composition is characterized by a unique acid-generating agent capable of releasing an acid by the pattern-wise exposure of the resist layer to actinic rays so as to increase or decrease the solubility of the resist layer in an aqueous alkaline developer solution. The acid-generating agent proposed is a novel cyano group-containing oxime sulfonate di- or triester compound represented by the general formulaA[C(CN).dbd.N--O--SO.sub.2 --R].sub.n,in which each R is, independently from the others, an unsubstituted or substituted monovalent hydrocarbon group such as alkyl groups, A is a divalent or tervalent organic group or, preferably, phenylene group and the subscript n is 2, when A is a divalent group, or 3, when A is a tervalent group or, preferably 2.
    Type: Grant
    Filed: October 28, 1998
    Date of Patent: October 26, 1999
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hideo Hada, Yoshiki Sugeta, Hiroyuki Yamazaki, Hiroshi Komano
  • Patent number: 5948596
    Abstract: Presensitized lithographic plates are prepared which permit direct formation of printable images on plates by digital computerization without the intervening formation of a photographic image with a quality that allows the plates to be used for high volume printing applications. The lithographic printing plate has a structure which contains a substrate; a positive or negative working photosensitive layer; and a thermally sensitive masking layer which is opaque to the actinic radiation but which is soluble in an aqueous medium. The masking layer contains a heat softenable disperse phase which is insoluble in the aqueous medium; a polymeric continuous phase which is soluble or swellable in the aqueous medium; and a colorant which strongly absorbs radiant energy and converts the radiant energy to heat.
    Type: Grant
    Filed: May 27, 1997
    Date of Patent: September 7, 1999
    Assignee: Kodak Polychrome Graphics LLC
    Inventors: Xing-Fu Zhong, Ken-ichi Shimazu, S. Peter Pappas, Tore Harms, Thi Do, Shashikant Saraiya, William P. Keaveney
  • Patent number: 5942373
    Abstract: Methods of forming patterns in photo-sensitive resist layers with high aspect ratio features are described. The photosensitive layer is patterned exposed to actinic radiation and thereafter developed. For high aspect ratio patterns, the inventors have often observed a residue of resist material at the bottom of such features, and that this residue interferes with subsequent processing, such as filling the pattern with metal by a plating operation. To remove this residue, the patterned locations of the resist are exposed to a low dose of low-energy electron beam radiation, preferably having energy of less than 6 KeV and dosage of less than 200 .mu.C/cm.sup.2. After the electron beam exposure, the aperture is again exposed to a developer solution, which may be of the same composition as the developer initially used to develop the patterns.
    Type: Grant
    Filed: January 26, 1998
    Date of Patent: August 24, 1999
    Assignee: Fujitsu Limited
    Inventors: William T. Chou, Solomon I. Beilin, Wen-chou Vincent Wang
  • Patent number: 5905016
    Abstract: A polymer having a unit expressed as "--CH.sub.2 CHR--" as well as a substituent capable of being decomposed by acid is employed as a base resin for a resist material. The resist material is further mixed with an acid generator. A resist pattern obtained by selectively exposing and developing the resist material is irradiated with light having a wavelength of not more than 300 nm under a nitrogen atmosphere. Active hydrogen at the .alpha.-position of the unit dissociates as a result to form polymer radicals, which are linked with each other in progress of a crosslinking reaction. Namely, a crosslinked structure of the polymer is formed. Consequently, a resist pattern having high dry etching resistance is completed.
    Type: Grant
    Filed: August 26, 1997
    Date of Patent: May 18, 1999
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Shinji Kishimura
  • Patent number: 5892095
    Abstract: Disclosed is a novel positive-working or negative-working chemical-sensitization photoresist composition useful in the photolithographic patterning works for the manufacture of electronic devices. The photoresist composition is characterized by a unique acid-generating agent capable of releasing an acid by the pattern-wise exposure of the resist layer to actinic rays so as to increase or decrease the solubility of the resist layer in an aqueous alkaline developer solution. The acid-generating agent proposed is a novel cyano group-containing oxime sulfonate di- or triester compound represented by the general formulaA?C(CN).dbd.N--O--SO.sub.2 --R!.sub.n,in which each R is, independently from the others, an unsubstituted or substituted monovalent hydrocarbon group such as alkyl groups, A is a divalent or tervalent organic group or, preferably, phenylene group and the subscript n is 2, when A is a divalent group, or 3, when A is a tervalent group or, preferably 2.
    Type: Grant
    Filed: January 30, 1997
    Date of Patent: April 6, 1999
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hideo Hada, Hiroshi Komano, Toshimasa Nakayama
  • Patent number: 5888701
    Abstract: A photosensitive printing element having an overall layer of powder material and a process for making a flexographic printing plate from such an element are disclosed. The photosensitive element includes a support, a photopolymerizable layer, and a layer of powder material on the photopolymerizable layer. The layer of powder material can be opaque or transparent depending upon desired use.
    Type: Grant
    Filed: October 1, 1997
    Date of Patent: March 30, 1999
    Assignee: E. I. du Pont de Nemours and Company
    Inventor: Roxy Fan
  • Patent number: 5885756
    Abstract: A semiconductor wafer having an active region where the active semiconductor devices are formed and a peripheral region between the active region and the wafer edge, is patterned by forming a patterned photoresist layer on the active region, such that the peripheral region is free of photoresist thereon. The patterned photoresist layer may be formed by forming a photoresist layer on the active region and on the peripheral region of the semiconductor wafer and removing the photoresist layer from the peripheral region of the semiconductor wafer. The photoresist in the active region is patterned. By removing the photoresist layer in the peripheral region of the wafer, excess etchant accumulation at the boundary of the active region and peripheral region is prevented, thereby reducing or preventing pitting of an underlying layer at the boundary.
    Type: Grant
    Filed: June 6, 1996
    Date of Patent: March 23, 1999
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Cheon-jin Yun, Kyoung-sub Shin
  • Patent number: 5876903
    Abstract: A method of hardening photoresist (24) by bombardment with ionized particles (42), such as argon. Ionic bombardment causes formation of a hardened skin (22) on the exposed top (30) and side walls (32) of the photoresist (24). The hardened skin erodes at a reduced rate during etching and is less likely to react with products created during etching, thereby allowing etching of more accurate line widths and gaps.
    Type: Grant
    Filed: December 31, 1996
    Date of Patent: March 2, 1999
    Assignee: Advanced Micro Devices
    Inventors: Che-Hoo Ng, Bhanwar Singh, Shekhar Pramanick, Subash Gupta
  • Patent number: 5876904
    Abstract: A method of forming a positive resist pattern comprising the steps of: (A) forming a positive resist film on a substrate; (B) irradiating the resist film with an active ray for patterning; (C) exposing the whole surface of the resist film to a light beam with a predetermined light exposure amount that causes substantially no film thickness reduction after development, the light beam being absorbed by the resist film and different from the active ray used for patterning; and (D) carrying out development after the irradiation for patterning and the exposure of the whole surface, which method forms a positive resist pattern, while depressing formation of a surface inhibition layer of the resist film and improving the affinity of the surface of the resist film to a developer, thereby improving the performance of the resist.
    Type: Grant
    Filed: January 14, 1997
    Date of Patent: March 2, 1999
    Assignee: Sumitomo Chemical Company, Ltd.
    Inventor: Yasunori Uetani
  • Patent number: 5876875
    Abstract: A method and an apparatus are disclosed for enhancing the solution diffusibility of a developing liquid in a semiconductor wafer developing unit through the agitation of the liquid by acoustic power. Two embodiments are described using sonic and ultrasonic waves. In the first embodiment, a sonic wave couples into the developing liquid, agitating it and thereby enhancing its solution diffusibility. In the second embodiment, an ultrasonic wave couples into the semiconductor wafer, causing the photoresist pattern to vibrate, again enhancing the solution diffusibility of the developing liquid.
    Type: Grant
    Filed: December 23, 1996
    Date of Patent: March 2, 1999
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Wei-Kay Chiu
  • Patent number: 5874200
    Abstract: A method for forming a pattern for preventing the formation of water marks on a semiconductor substrate during drying the semiconductor substrate after forming a resist pattern on the semiconductor substrate using a photoresist, is disclosed. An insulating layer is formed on the semiconductor substrate and the resist pattern is formed on the insulating layer. The resist pattern is exposed to ultraviolet rays to reduce the hydrophobicity of the surface portion of the resist pattern. Then, the exposed portion of the insulating layer by the resist pattern is wet etched by using the resist pattern as an etching mask. The sheet off time of deionized water from the surface of the resist pattern is lengthened. The semiconductor substrate becomes relatively hydrophobic when compared to the resist pattern. And the sheet off time of the remaining deionized water on the semiconductor substrate is shorter than that of the deionized water on the resist pattern.
    Type: Grant
    Filed: October 15, 1996
    Date of Patent: February 23, 1999
    Assignee: Daewoo Electronics Co., Ltd.
    Inventors: Hyung-Joo Ra, Kyung-Hwa Jo
  • Patent number: 5869210
    Abstract: A photosensitive recording medium comprises a composition containing a radical-polymerizable monomer, a cationic-polymerizable monomer, a radical polymerization initiator, and a cationic-polymerization initiator as main constituents. A method of producing a hologram comprises a first step of preparing the photosensitive recording medium; a second step of exposing the medium to an interference pattern of radiation rays; and a third step of exposing the entire region of the medium to light; and optionally a fourth step of heating the entire of the medium.
    Type: Grant
    Filed: June 27, 1997
    Date of Patent: February 9, 1999
    Assignee: Canon Kabushiki Kaisha
    Inventors: Norio Ohkuma, Tetsuro Kuwayama, Toshiaki Majima, Naosato Taniguchi, Yomishi Toshida, Yoko Yoshinaga, Tetsuro Fukui
  • Patent number: 5866281
    Abstract: The present invention provides a procedure for achieving accurate alignment between an X-ray mask and a device substrate for the fabrication of multi-layer microstructures. A first photoresist layer on the substrate is patterned by a first X-ray mask to include first alignment holes along with a first layer microstructure pattern. Mask photoresist layers are attached to second and subsequent masks that are used to pattern additional photoresist layers attached to the microstructure device substrate. The mask photoresist layers are patterned to include mask alignment holes that correspond in geometry to the first alignment holes in the first photoresist layer on the device substrate. Alignment between a second mask and the first photoresist layer is achieved by assembly of the second mask onto the first photoresist layer using alignment posts placed in the first alignment holes in the first photoresist layer that penetrate into the mask alignment holes in the mask photoresist layers.
    Type: Grant
    Filed: November 27, 1996
    Date of Patent: February 2, 1999
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: Henry Guckel, Harald Emmerich, Jonathan L. Klein
  • Patent number: 5858604
    Abstract: Object: A method for preparing a lithographic printing plate directly from digital image signals with the use of a presensitized lithographic printing plate comprising a support having thereon a photosensitive layer and a light-shielding layer which can be removed imagewise with laser light is provided, wherein the light-shielding layer, which can be industrially produced readily at a moderate price can be removed sufficiently during a process of development without the need of removing a masking layer at an unexposed portion and adverse effect on a photosensitive layer.
    Type: Grant
    Filed: January 16, 1996
    Date of Patent: January 12, 1999
    Assignee: Konica Corporation
    Inventors: Katsuyuki Takeda, Sota Kawakami, Katsumi Maejima, Koichi Nakatani, Shinji Matsumoto
  • Patent number: 5856066
    Abstract: A process for producing a photosensitive resin printing plate, which comprises the steps of developing a photosensitive resin which has been exposed to light, with a composition comprising a developer for a photosensitive resin and a hydrogen-abstracting agent which can abstract hydrogen atoms from a compound upon irradiation with an active radiation, and thereafter, irradiating the surface of an obtained cured plate with an active radiation.
    Type: Grant
    Filed: February 20, 1997
    Date of Patent: January 5, 1999
    Assignee: Asahi Kasei Kogyo Kabushiki Kaisha
    Inventors: Masahiro Yoshida, Kenji Fujioka
  • Patent number: 5856071
    Abstract: A fine pattern is formed using a resist material including a copolymer of a silicon-containing acrylate and an acrylate which contains a group that is eliminated by an acid, and a photo-acid generator which generates the acid upon irradiation. The polarity of the material changes after elimination of this group and becomes soluble in an aqueous alkali solution.
    Type: Grant
    Filed: March 18, 1996
    Date of Patent: January 5, 1999
    Assignee: Fujitsu Limited
    Inventors: Akiko Kotachi, Satoshi Takechi
  • Patent number: 5849639
    Abstract: A gas plasma process for removing photoresist and etch residues and other contaminants involved in etching vias in integrated circuit devices is disclosed. The process involves placing the substrate having etched vias or contact holes in a suitable low bias reactor; applying to the substrate surface a mixture of gases at low bias selected from the group consisting of oxygen, nitrogen, fluorine, hydrofluorocarbon and fluorinated methane and amine gases to both remove the photoresist layer and alter the composition of the residues such that the residues are soluble in water; and rinsing the substrate with deionized water. The plasma process should be carried out at temperatures of less than about 100 degrees C to avoid mobile ion contamination problems and oxidation of the etch residues.
    Type: Grant
    Filed: November 26, 1997
    Date of Patent: December 15, 1998
    Assignee: Lucent Technologies Inc.
    Inventors: Simon John Molloy, Daniel Joseph Vitkavage
  • Patent number: 5849582
    Abstract: A method and apparatus for curing a photoresist that is deposited in liquid form and spun on a surface of a wafer leaving a thin film to be cured. This invention teaches methods for curing the resist with improved thickness control using front side heating.
    Type: Grant
    Filed: May 1, 1997
    Date of Patent: December 15, 1998
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chao-Chen Chen, Wei-Kay Chiu
  • Patent number: 5843627
    Abstract: There is provided a method for forming fine patterns in a semiconductor device without the falling down of the patterns, comprising the steps of: coating a photosensitive film on a wafer; patterning the photosensitive film by use of a light mask, followed by development, to form photosensitive film patterns; scattering a first washing solution on the wafer with spinning, to lie the first washing solution on the wafer including the photosensitive film patterns; scattering a second washing solution on the wafer to lie it thereon while spinning the wafer to remove the first washing solution out of the wafer; and spinning the wafer to dry the second washing solution.
    Type: Grant
    Filed: June 24, 1996
    Date of Patent: December 1, 1998
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Cheol Kyu Bok
  • Patent number: 5830608
    Abstract: A filter completely free from resin residues remaining on the glass substrate surface in the photolithographic process is prepared with a high production efficiency by applying a photosensitive resin to a substrate surface, subjecting the applied resin to light exposure and development by photolithography, and heating the resin, thereby baking the resin, where the substrate surface is irradiated with an ultraviolet ray in an oxygen-containing atmosphere, before and/or after the baking, thereby removing residues remaining on the substrate surface due to the development.
    Type: Grant
    Filed: November 3, 1997
    Date of Patent: November 3, 1998
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kazuya Ishiwata, Toshifumi Yoshioka
  • Patent number: 5824457
    Abstract: A method is disclosed for sealing the edge of a wafer against slurry debris and contaminants that are encountered during grinding and backlapping of a semiconductor substrate. This is accomplished by depositing a photosensitive polyimide as a dielectric material on a wafer and mounting the wafer on a chuck. A light source is introduced above the wafer and close to the edge of the wafer. The chuck is then spun by means of a spindle, thus exposing an outer ring of the circumferential edge of the wafer to light source. Because polyimide behaves like a negative resist in the art of lithography, the exposed ring is fixed in place such that when the wafer is next developed, only the unexposed polyimide corresponding to the scribe line patterns is dissolved forming "scribe channels", while leaving the ring in tact all along the circumference of the wafer.
    Type: Grant
    Filed: October 2, 1996
    Date of Patent: October 20, 1998
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsiang Liu, Chien-Ming Chung, Liang Szuma, Ding-Shan Wang
  • Patent number: 5800967
    Abstract: A method is disclosed for fabricating a substantially planar photoimageable layer atop a first thin film member situated on a substrate. The substrate and first thin film member are covered with a first photoresist layer in one embodiment of the method. An asperity is formed in the first photoresist layer above the first thin film member. The remainder of the first photoresist layer outside of the asperity includes photoresist fields which are in the same plane as the first thin film member when viewed in cross section. The asperity is removed by photoimaging including masking, exposing and developing while the photoresist fields remain. A second photoresist layer is then applied to the resultant structure after the asperity is removed. The portions of the second photoresist layer atop the photoresist fields and the photoresist fields themselves are removed by photoimaging.
    Type: Grant
    Filed: August 18, 1997
    Date of Patent: September 1, 1998
    Assignee: AIWA Research and Development, Inc.
    Inventor: James Watterston
  • Patent number: 5789140
    Abstract: Methods of forming patterns in photo-sensitive resist layers with high aspect ratio features are described. The photosensitive layer is patterned exposed to actinic radiation and thereafter developed. For high aspect ratio patterns, the inventors have often observed a residue of resist material at the bottom of such features, and that this residue interferes with subsequent processing, such as filling the pattern with metal by a plating operation. To remove this residue, the patterned locations of the resist are exposed to a low dose of low-energy electron beam radiation, preferably having energy of less than 6 KeV and dosage of less than 200 .mu.C/cm.sup.2. After the electron beam exposure, the aperture is again exposed to a developer solution, which may be of the same composition as the developer initially used to develop the patterns.
    Type: Grant
    Filed: April 25, 1996
    Date of Patent: August 4, 1998
    Assignee: Fujitsu Limited
    Inventors: William T. Chou, Solomon I. Beilin, Wen-chou Vincent Wang
  • Patent number: 5741627
    Abstract: The invention discloses a method and an apparatus for creating an optical disc master by forming pits having ideal shapes and without berm buildup. These ideally shaped pits are improvements in the technology of disc mastering, due to the manufacturing and data playback advantages that are inherent in the ideally shaped pits. A disc substrate has a very thin partially reflective layer applied to the surface of the optical disc upon which data will be recorded. The substance used to make the partially reflective layer is normally considered opaque in more commonly occurring thicknesses. However, the partially reflective layer, is created to be so extremely thin that it becomes partially optically transparent. The partially reflective layer then has spin coated, thereon, an optically active lamina to enable the recording of data on the disc by laser means in the form of pits.
    Type: Grant
    Filed: May 15, 1996
    Date of Patent: April 21, 1998
    Assignee: Del Mar Avionics
    Inventors: Robert L. Cubit, Bruce E. Del Mar
  • Patent number: 5719009
    Abstract: A process for making a flexographic printing plate from a flexographic element having an infrared ablatable layer capable of being selectively removed by a laser beam is described.
    Type: Grant
    Filed: November 18, 1994
    Date of Patent: February 17, 1998
    Assignee: E. I. du Pont de Nemours and Company
    Inventor: Roxy Ni Fan
  • Patent number: 5705319
    Abstract: There are disclosed processes for forming fine patterns on a semiconductor substrate to a lesser degree than the resolving power of a step and repeat used, thereby improving the degree of integration of the semiconductor device. The process comprises the steps of: forming a first light-exposure mask and a second light-exposure mask with interlaced patterns selected from a plurality of fine patterns to be formed on a semiconductor substrate; coating an organic material layer on the semiconductor substrate; patterning the organic material layer by use of the first light-exposure mask, to form organic material layer patterns; forming a photosensitive film over the organic material layer patterns; and patterning the photosensitive film by use of the second light-exposure mask to form photosensitive film patterns, in such a way that each of photosensitive film patterns is interposed between two adjacent organic material layer patterns.
    Type: Grant
    Filed: June 6, 1996
    Date of Patent: January 6, 1998
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Sang Man Bae, Seung Chan Moon
  • Patent number: 5705322
    Abstract: An infrared imaging composition contains two essential components, namely an infrared radiation absorbing compound, and a phenolic resin that is either mixed or reacted with an o-diazonaphthoquinone derivative. These compositions are useful in photosensitive elements such as lithographic printing plates that can be used to provide images using laser imaging, followed by uniform exposure and development.
    Type: Grant
    Filed: September 30, 1996
    Date of Patent: January 6, 1998
    Assignee: Eastman Kodak Company
    Inventors: Paul Richard West, Eugene Lynn Sheriff, Jeffery Allen Gurney, Ralph Scott Schneebeli, Thomas Robert Jordan, Gary Roger Miller
  • Patent number: 5698377
    Abstract: To provide a method of forming a resist pattern in a readily controllable manner and at low costs, in a first exposure step, a resist layer is subject to exposure through a mask. In the next, first developing step, a stepped portion 4 is formed in the resist layer. In a second exposure step, the resist layer is again subject to exposure. At this time, phase shift by 180.degree. occurs in the stepped portion so as to allow some area of the resist layer along the step to be not subject to exposure. In the second developing step, the exposed area of the resist layer 2 is removed to form a resist pattern along the step. Accordingly, the present invention is less subject to diffraction than the case where a phase shifter is employed, and is able to form a resist pattern in a readily controllable manner and reduce fabrication costs.
    Type: Grant
    Filed: June 11, 1996
    Date of Patent: December 16, 1997
    Assignee: Nippon Precision Circuits Inc.
    Inventor: Tatsuya Seino
  • Patent number: 5686224
    Abstract: A plurality of a thermal ink jet printheads are fabricated from a heater wafer, on which a plurality of arrays of heaters, transducers and addressing logic are located on one surface thereof, by depositing multiple coatings of a positive photoresist over the heater wafer to achieve a desired thickness and then exposing to the photoresist UV (ultra violet) light through a graded mask. The mask controls the depth of developed resist and concurrently forms a variable depth profile for the ink channel structures containing ink flow channels, reservoirs, and heater pits in a single step. A flat glass substrate with ink inlets formed therein is mated to the patterned photoresist to complete the wafer/substrate pair containing the plurality of printheads. The individual printheads are obtained by a subsequent dicing operation. In an alternate embodiment, a negative acting positive photoresist is used.
    Type: Grant
    Filed: July 19, 1995
    Date of Patent: November 11, 1997
    Assignee: Xerox Corporation
    Inventor: James F. O'Neill
  • Patent number: 5686226
    Abstract: An applicator (300) provides a tool for depositing processing media (510), such as a tacky flux agent, on predetermined surface areas (715) of a circuit substrate (705). The applicator (300) has a portion formed from a flexible compressible material with a surface (302, 325) patterned to have projections (325) that correspond in location to the predetermined surface areas (715) of the circuit substrate (705). The applicator (300) is preferably formed by polymerizing resin material using an image that corresponds to the circuit substrate (410, 420, 430).
    Type: Grant
    Filed: August 3, 1995
    Date of Patent: November 11, 1997
    Assignee: Motorola, Inc.
    Inventors: Barry B. Groman, Anthony B. Suppelsa, Scott Potter