Including Heating Patents (Class 430/330)
  • Patent number: 7790349
    Abstract: A blanket for a printing roll is disclosed that includes an Si-based resin layer and an acrylate film formed on one surface of the Si-based resin layer, wherein the acrylate film prevents a volatile solvent from permeating into the blanket, to thereby prevent the blanket from being deformed.
    Type: Grant
    Filed: April 10, 2007
    Date of Patent: September 7, 2010
    Assignee: LG. Display Co., Ltd.
    Inventor: Jin Wuk Kim
  • Patent number: 7790357
    Abstract: A method for forming a fine pattern of a semiconductor device includes forming a first photoresist pattern over a semiconductor substrate including an underlying layer. A cross-linking layer is formed on the sidewall of the first photoresist pattern. The first photoresist pattern is removed to form a fine pattern including a silicon polymer. A second photoresist pattern is formed that is coupled to the fine pattern. The underlying layer is etched using the fine pattern and the second photoresist pattern as an etching mask. As a result, the fine pattern has a smaller size than a minimum pitch.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: September 7, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Chang Jung
  • Patent number: 7790350
    Abstract: A self assembly step for the manufacture of an electronic component comprising, e.g., a semiconductor chip or semiconductor array or wafer comprises forming a block copolymer film placed on a random copolymer film substrate operatively associated with the electronic component and the block copolymer film wherein the surface energy of the random copolymer film is tailored by use of a photolithographic or chemical process prior to the self assembly step. By prior deterministic control over regional surface properties of the random copolymer film, domains of the block copolymer film form only in predefined areas. This approach offers simplified processing and a precise control of regions where domain formation occurs. Selective removal of some of the domains allows for further processing of the electronic component.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: September 7, 2010
    Assignee: International Business Machines Corporation
    Inventors: Gregory Breyta, Matthew E. Colburn
  • Patent number: 7790356
    Abstract: There is provided an anti-reflective coating forming composition comprising a polymer having a pyrimidinetrione structure, imidazolidinedione structure, imidazolidinetrione structure or triazinetrione structure and a solvent. The anti-reflective coating obtained from the composition has a high preventive effect for reflected light, causes no intermixing with photoresists, and can use in lithography process by use of a light having a short wavelength such as ArF excimer laser beam (wavelength 193 nm) or F2 excimer laser beam (wavelength 157 nm), etc.
    Type: Grant
    Filed: April 6, 2005
    Date of Patent: September 7, 2010
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Takahiro Kishioka, Rikimaru Sakamoto, Yoshiomi Hiroi, Daisuke Maruyama
  • Patent number: 7789576
    Abstract: The present disclosure provides a lithography apparatus. The apparatus includes an exposure module designed for exposure processing; a baking module embedded in the exposure module and designed for post exposure baking (PEB); and a control module designed to control the exposure module and the baking module.
    Type: Grant
    Filed: April 25, 2007
    Date of Patent: September 7, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Feng-Ning Lee, Yung-Cheng Chen, Yao-Hwan Kao, Li-Jen Ko, Chin-Hsiang Lin
  • Patent number: 7785766
    Abstract: A photo-curable resin composition comprising a silphenylene-bearing polymer having a Mw of 3,000-500,000 can be processed to form patterned films having a widely varying thickness from submicron to more than 20 ?m. The cured films have good adhesion to substrates, heat resistance, electrical insulation and chemical resistance.
    Type: Grant
    Filed: January 30, 2008
    Date of Patent: August 31, 2010
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Hideto Kato, Satoshi Asai
  • Patent number: 7781157
    Abstract: The present invention involves a method for generating a photoresist image on a substrate. The method comprises coating a substrate with a film comprising a polymer comprising fluorocarbinol monomers; imagewise exposing the film to radiation; heating the film to a temperature of, at, or below about 90° C. and developing the image. The present invention also relates to a method for generating a photoresist image on a substrate where a polymer comprising fluorocarbinol monomers is used as a protective top coat.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: August 24, 2010
    Assignee: International Business Machines Corporation
    Inventors: Gregory Breyta, Daniel Paul Sanders, Hoa D. Truong
  • Patent number: 7781141
    Abstract: The present invention relates to barrier layer compositions that are applied above a photoresist composition for immersion lithography processing. In a further aspect, new methods are provided for immersion lithography processing.
    Type: Grant
    Filed: July 1, 2005
    Date of Patent: August 24, 2010
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Michael K. Gallagher, Gerald B. Wayton, Gregory P. Prokopowicz, Stewart A. Robertson
  • Patent number: 7781153
    Abstract: A polymer resin composition, a method for forming a pattern using the polymer resin composition, and a method for fabricating a capacitor using the polymer resin composition are disclosed. The polymer resin composition includes about 75 to 93 percent by weight of a copolymer prepared from benzyl methacrylate, methacrylic acid, and hydroxyethyl methacrylate; about 1 to 7 percent by weight of a cross-linking agent; about 0.01 to 0.5 percent by weight of a thermal acid generator; about 0.01 to 1 percent by weight of a photoacid generator; about 0.00001 to 0.001 percent by weight of an organic base; and a solvent.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: August 24, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyong-Rim Kang, Sun-Yul Ahn, Young-Ho Kim, Jae-Hyun Kim, Joo-Hyung Yang, Tae-Sung Kim
  • Patent number: 7776515
    Abstract: A composition for the organic hard mask includes a polyamic acid compound, and a method for forming a pattern is used in a manufacturing process of semiconductor devices by coating the composition for organic hard mask film on an underlying layer, and depositing a second hard mask film with a silicon nitride SiON film thereon to form a double hard mask film having an excellent etching selectivity, thereby obtaining a uniform pattern.
    Type: Grant
    Filed: March 23, 2010
    Date of Patent: August 17, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Chang Jung
  • Patent number: 7776507
    Abstract: A photosensitive paste is provided with which a member for a display panel having superior visibility can be manufactured with simple steps, and with the photosensitive paste including a soft magnetic powder, a glass powder, and a photosensitive organic component, in which the mass ratio of the soft magnetic powder (A) to the glass powder (B) is in the range of 20/80 to 70/30.
    Type: Grant
    Filed: July 14, 2005
    Date of Patent: August 17, 2010
    Assignee: Toray Industries, Inc.
    Inventors: Kazutaka Kusano, Yuko Fujiwara, Yuichiro Iguchi
  • Patent number: 7776513
    Abstract: A method for manufacturing a semiconductor device including coating a photo-resist layer on a semiconductor substrate having a lower layer; performing a soft-bake process on the photo-resist layer; performing an exposure process on the photo-resist layer having passed through the soft-bake process; performing a post exposure-bake (PEB) process on photo-resist pattern holes formed by the exposure process; performing a developing process on the photo-resist pattern holes having passed through the PEB process; and performing a hard-bake process on the photo-resist pattern holes having passed through the developing process. The method improves the circularity of PR pattern holes to improve the profile of contacts in an etching process after a photography process, resulting in an enhancement in the operation reliability of the device.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: August 17, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Sung-Ho Jun
  • Patent number: 7771913
    Abstract: There is disclosed a resist composition comprising, at least, a polymer including repeating units represented by the following general formula (1). There can be provided a resist composition that has a good barrier property against water, prevents resist components from leaching to water, has high receding contact angle against water, does not require a protective film, has an excellent process applicability, suitable for the liquid immersion lithography and makes it possible to form micropatterns with high precision.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: August 10, 2010
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tatsushi Kaneko, Jun Hatakeyama, Yuji Harada
  • Patent number: 7771914
    Abstract: A resist composition comprises a polymer comprising recurring units having formula (1) wherein R1, R4, R7, and R14 are H or methyl, R2, R3, R15, and R16 are H, alkyl or fluoroalkyl, R is F or H, R5 is alkylene, R6 is fluorinated alkyl, R8 is a single bond or alkylene, R10 and R11 are H, F, methyl or trifluoromethyl, R12 and R13 are a single bond, —O— or —CR18R19—, R9, R18, and R19 are H, F, methyl or trifluoromethyl, R17 is alkylene, X1, X2 and X3 are —C(?O)—O—, —O—, or —C(?O)—R20—C(?O)—O— wherein R20 is alkylene, 0?(a-1)<1, 0?(a-2)<1, 0?(a-3)<1, 0<(a-1)+(a-2)+(a-3)<1, 0<b<1, and 0<(a-1)+(a-2)+(a-3)+b?1.
    Type: Grant
    Filed: October 16, 2007
    Date of Patent: August 10, 2010
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takao Yoshihara, Yuji Harada, Wataru Kusaki
  • Patent number: 7771920
    Abstract: A polymer for crosslinking an anti-reflective film has a high refractive index. An anti-reflective composition containing the polymer for crosslinking is useful in an immersion lithography process using ArF (193 nm) of a semiconductor device manufacturing process.
    Type: Grant
    Filed: June 5, 2008
    Date of Patent: August 10, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Chang Jung
  • Patent number: 7767385
    Abstract: A method of lithography is disclosed, which allows for independent resist process optimization of two or more exposure steps that are performed on a single resist layer. By providing for a separate post-exposure bake after each resist exposure step, pattern resolution for each exposure can be optimized. The method can generally be used with different lithographic techniques, and is well-suited for hybrid lithography. It has been applied to the fabrication of a device, in which the active area and the gate levels are defined in separate mask levels using hybrid lithography with an e-beam source and a 248 nm source respectively. Conditions for post-exposure bakes after the two exposure steps are independently adjusted to provide for optimized results.
    Type: Grant
    Filed: March 9, 2006
    Date of Patent: August 3, 2010
    Assignee: International Business Machines Corporation
    Inventors: Carl E. Larson, Sharee J. McNab, Steven E. Steen, Raman G. Viswanathan, Gregory M. Wallraff
  • Patent number: 7767984
    Abstract: A method, tool, and machine for hardening a photoresist image while the photoresist image is immersed in a liquid.
    Type: Grant
    Filed: May 1, 2008
    Date of Patent: August 3, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Burn-Jeng Lin, Ching-Yu Chang
  • Patent number: 7763415
    Abstract: A method of fabricating a semiconductor device is provided. The method includes forming at least one etch target film on a substrate, forming a first reflowable etch mask on the at least one etch target film, patterning the etch target film using the first reflowable etch mask. The method further includes reflowing the first reflowable etch mask to form a second etch mask and patterning the etch target film using the second etch mask.
    Type: Grant
    Filed: May 9, 2006
    Date of Patent: July 27, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-Min Park, Jang-Soo Kim, Hi-Kuk Lee
  • Patent number: 7759050
    Abstract: Provided is a laminated body comprising a substrate to be ground and a support, where the substrate may be ground to a very small (thin) thickness and can then be separated from the support without damaging the substrate. One embodiment is a laminated body comprising a substrate to be ground, a curable silicone adhesive layer in contact with the substrate to be ground, a photothermal conversion layer comprising a light absorbing agent and a heat decomposable resin, and a light transmitting support. After grinding the substrate surface which is opposite that in contact with the adhesive layer, the laminated body is irradiated through the light transmitting layer and the photothermal conversion layer decomposes to separate the substrate and the light transmitting support.
    Type: Grant
    Filed: December 17, 2008
    Date of Patent: July 20, 2010
    Assignee: 3M Innovative Properties Company
    Inventors: Carl R. Kessel, Larry D. Boardman, Richard J. Webb
  • Patent number: 7759042
    Abstract: Laser induced thermal imaging (LITI) donor films, and methods of preparing them, having a substrate, a light-to-heat conversion layer, and a pattern-directing layer. The pattern-directing layer can include patterns of self-assembled monolayer regions, hydrophilic and hydrophobic regions, positively or negatively charged regions, or a series of raised or recessed features. It can also be used to generate charge patterns and magnetic patterns. The pattern-directing layer causes patterning of a transfer layer applied to it, resulting in a templated transfer layer. When imaged, the LITI donor film transfers at least a portion of the templated transfer layer to a permanent receptor while maintaining the pattern substantially intact in the transferred portion.
    Type: Grant
    Filed: September 11, 2009
    Date of Patent: July 20, 2010
    Assignee: 3M Innovative Properties Company
    Inventors: Martin B. Wolk, John E. Potts, Yingbo Li, Khanh T. Huynh
  • Patent number: 7754417
    Abstract: A method for making printed circuits and printed circuit boards which includes coating a non-metallized substrate and plating an image of a desired circuit design directly onto the coated substrate without the need to image the circuit design on an intermediate silver halide polyester film or diazo and utilizing existing imaging, developing and etching subtractive techniques in conventional printed circuit board processing.
    Type: Grant
    Filed: May 21, 2007
    Date of Patent: July 13, 2010
    Inventor: Steven Lee Dutton
  • Patent number: 7754414
    Abstract: Antireflective coating compositions with reduced outgassing are disclosed.
    Type: Grant
    Filed: July 12, 2006
    Date of Patent: July 13, 2010
    Assignee: AZ Electronic Materials USA Corp.
    Inventor: Joseph E. Oberlander
  • Patent number: 7749680
    Abstract: A photoresist composition includes a base resin, a copolymer of acrylic acid or methacrylic acid and 3,3-dimethoxypropene, a photoacid generator, an organic base, and an organic solvent, and is used for forming a fine (micro) pattern in a semiconductor device by double patterning. The invention method can markedly reduce the number of steps in etching and hard mask deposition processes, so that work hours and manufacturing costs may be reduced, contributing to an increase in yield of semiconductor devices.
    Type: Grant
    Filed: June 26, 2007
    Date of Patent: July 6, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jae Chang Jung, Sung Koo Lee
  • Patent number: 7749678
    Abstract: A photosensitive composition comprising (A) a resin that is decomposed by the action of an acid to increase solubility in an alkali developing solution, (B) a compound that generates an acid upon irradiation of an actinic ray or radiation, and (C1) a compound having a molecular weight of 1,000 or less and containing an aliphatic ring and an aromatic ring, and a photosensitive composition comprising (A) a resin that is decomposed by the action of an acid to increase solubility in an alkali developing solution, (B) a compound that generates an acid upon irradiation of an actinic ray or radiation, and (C2) a resin containing a hydroxystyrene unit; and a pattern forming method using these photosensitive composition.
    Type: Grant
    Filed: March 22, 2005
    Date of Patent: July 6, 2010
    Assignee: FUJIFILM Corporation
    Inventor: Tsukasa Yamanaka
  • Patent number: 7749681
    Abstract: A composition for forming a lower layer film comprises a polymer (A) having a naphthalene derivative structural unit shown by the following formula (1), wherein R1 represents a hydroxyl group and the like, X represents a substitutable alkylene group having 1 to 20 carbon atoms and the like, n represents 0 to 6, m represents 1 to 8, and n+m represents an integer from 1 to 8, provided that two or more R1s may be the same or different and two or more Xs may be the same or different.
    Type: Grant
    Filed: March 14, 2007
    Date of Patent: July 6, 2010
    Assignee: JSR Corporation
    Inventors: Nakaatsu Yoshimura, Yousuke Konno, Hikaru Sugita, Junichi Takahashi
  • Patent number: 7745101
    Abstract: A method of making a metallic pattern (250) comprises: depositing a layer of photoresist (130) on a substrate (110); forming a pattern on the photoresist; depositing a layer of metal nanoparticles (190) on the photoresist and pattern; removing the photoresist and overlying metal nanoparticles on the photoresist; and sintering the remaining nanoparticles to form a metallic pattern.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: June 29, 2010
    Assignee: Eastman Kodak Company
    Inventors: Lee W. Tutt, Therese M. Feller
  • Patent number: 7745096
    Abstract: A photosensitive polyimide composition, a polyimide film, and a semiconductor device using the same are disclosed. The photosensitive polyimide composition can be cured by heating. A polyhydroxyimide is used as a base resin and can be mixed with a photoacid generator and a cross-linking agent having two or more vinylether groups. A film of the photosensitive polyimide composition can be developed by treatment with an alkaline aqueous solution. Embodiments of the invention enable improvement in production yield and reliability in a highly-integrated memory semiconductor packaging processes.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: June 29, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Myung Sup Jung, Sang Mock Lee, Jingyu Lee
  • Patent number: 7745100
    Abstract: Polymers, methods of use thereof, and methods of decomposition thereof, are provided. One exemplary polymer, among others, includes, a photodefinable polymer having a sacrificial polymer and a photoinitiator.
    Type: Grant
    Filed: June 12, 2006
    Date of Patent: June 29, 2010
    Assignee: Georgia Tech Research Corporation
    Inventors: Paul A. Kohl, SueAnn Bidstrup Allen, Xiaoqun Wu, Clifford Lee Henderson
  • Patent number: 7745077
    Abstract: The present invention relates to an aqueous coating composition for coating a photoresist pattern, comprising a polymer comprising at least one unit with an alkylamino group, where the unit has a structure (1), where, R1 to R5 are independently selected from hydrogen and C1 to C6 alkyl, and W is C1 to C6 alkyl. The invention also relates to a process for imaging a photoresist layer using the present composition.
    Type: Grant
    Filed: June 18, 2008
    Date of Patent: June 29, 2010
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Muthiah Thiyagarajan, Yi Cao, Sung Eun Hong, Ralph R. Dammel
  • Patent number: 7745092
    Abstract: A method of forming a plurality of encoded microparticles with a lithography process to define codes for identifying the particles is disclosed herein.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: June 29, 2010
    Assignee: Affymetrix, Inc.
    Inventor: Randall True
  • Patent number: 7745099
    Abstract: A photosensitive compound as a molecular resist whose size is smaller than conventional polymer for photoresist, and which can form a nano assembly, and a photoresist composition including the same are disclosed. The photosensitive compound represented by the following formula. Also, the present invention provides a photoresist composition comprising 1 to 85 wt % (weight %) of the photosensitive compound; 0.05 to 15 weight parts of a photo-acid generator with respect to 100 weight parts of the photosensitive compound; and 50 to 5000 weight parts of an organic solvent with respect to 100 weight parts of the photosensitive compound. In the formula, n is the number of repetition of an isopropyl oxide (—CH(CH3)CH2O—) monomer, and is an integer of 1 to 40, and R is an alkyl group of 1 to 20 carbon atoms or a cycloalkyl group of 3 to 20 carbon atoms.
    Type: Grant
    Filed: November 13, 2008
    Date of Patent: June 29, 2010
    Assignee: Dongjin Semichem Co., Ltd.
    Inventors: Jung-Woo Kim, Deog-Bae Kim, Jae-Hyun Kim
  • Patent number: 7745093
    Abstract: In the present invention, in a water soluble resin composition for use in a method for pattern formation in which a covering layer is provided on a resist pattern formed of a radiation-sensitive resin composition capable of coping with ArF exposure to increase the width of the resist pattern and thus to realize effective formation of higher density trench or hole pattern, the size reduction level of the resist pattern layer can be further increased as compared with that in the prior art technique, and, in addition, the size reduction level dependency of the coarse-and-fine resist pattern can be reduced. A method for pattern formation using the water soluble resin composition is also provided. The water soluble resin composition which is usable for the method for pattern formation applicable to ArF excimer laser irradiation comprises a water soluble resin, an acid generating agent capable of generating an acid upon heating, a surfactant, a crosslinking agent, and a water-containing solvent.
    Type: Grant
    Filed: April 8, 2005
    Date of Patent: June 29, 2010
    Inventors: Takeshi Nishibe, Sung Eun Hong, Yusuke Takano, Tetsuo Okayasu
  • Patent number: 7741015
    Abstract: A pattern is formed by applying a positive resist composition comprising a polymer comprising 7-oxanorbornane ring-bearing recurring units and acid labile group-bearing recurring units and an acid generator onto a substrate to form a resist film, heat treating and exposing the resist film to radiation, heat treating and developing the resist film with a developer, and causing the resist film to crosslink and cure with the aid of acid and/or heat. A second resist pattern is then formed in the space area of the first resist pattern. The double patterning process reduces the pitch between patterns to one half.
    Type: Grant
    Filed: February 12, 2008
    Date of Patent: June 22, 2010
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takao Yoshihara, Takeshi Kinsho, Koji Hasegawa, Yoshio Kawai, Katsuya Takemura
  • Patent number: 7736833
    Abstract: Multilayered resist structures including bilayer and top surface imaging which utilize tuned underlayers functioning as ARCs, planarizing layers, and etch resistant hard masks whose properties such as optical, chemical and physical properties are tailored to give a multilayer resist structure exhibiting high resolution, residue free lithography and methods of preparing these materials.
    Type: Grant
    Filed: October 2, 2007
    Date of Patent: June 15, 2010
    Assignee: International Business Machines Corporation
    Inventors: Marie Angelopoulos, Katherina E. Babich, Douglas Charles LaTulipe, Qinghuang Lin, David R. Medeiros, Wayne Martin Moreau, Karen E. Petrillo, John P. Simons
  • Patent number: 7736843
    Abstract: To overcome the limitations to development of photosensitive layers in a lithography process using a light source such as KrF, ArF, VUV, EUV, E-beam, ion beam, etc., and a patterning process of a large circuit board or a bending substrate, the invention provides a method for manufacturing a semiconductor device in which the photosensitive layer comprises a thermal acid generator that is reacted with heat to form an acid, and a masking process in a lithography process using a light source is performed as a heat conduction process using a thermally conductive pattern so that a patterning process is performed easily without limiting the size and shape of a semiconductor substrate.
    Type: Grant
    Filed: November 26, 2007
    Date of Patent: June 15, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Man Bae
  • Patent number: 7736842
    Abstract: A resist composition for electron beam or extreme ultraviolet (EUV), comprising a resin component (A) which exhibits changed alkali solubility under action of acid, and a photoacid generator component (B) that generates acid on exposure, wherein the component (B) comprises at least one onium salt selected from the group consisting of onium salts having an anion represented by formula (b-0-1) or (b-0-2) shown below: wherein X represents an alkylene group having 2 to 6 carbon atoms, in which at least one hydrogen atom is substituted with a fluorine atom; and each of Y and Z independently represents an alkyl group having 1 to 10 atoms, in which at least one hydrogen atom is substituted with a fluorine atom.
    Type: Grant
    Filed: September 1, 2005
    Date of Patent: June 15, 2010
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hideo Hada, Daiju Shiono, Hiroo Kinoshita, Takeo Watanabe
  • Patent number: 7736834
    Abstract: The photosensitive resin composition of the invention comprises (A) a photopolymerizing compound with two or more ethylenic unsaturated bonds in the molecule and (B) a photopolymerization initiator which initiates photopolymerization reaction of the (A) photopolymerizing compound, the photosensitive resin composition being characterized in that the molecule of the (A) photopolymerizing compound further contains a characteristic group with a bond which breaks when the (A) photopolymerizing compound is heated under temperature conditions of 130-250° C.
    Type: Grant
    Filed: August 25, 2004
    Date of Patent: June 15, 2010
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Masahiro Miyasaka, Toshiki Ito
  • Patent number: 7727704
    Abstract: In a positive resist composition comprising (A) a resin component which becomes soluble in an alkaline developer under the action of an acid and (B) a photoacid generator, component (A) is a polymer of formula (1) wherein R1 is H, methyl or trifluoromethyl, R2 and R3 are alkyl, R4 is a monovalent hydrocarbon group, X1 is O, S or CH2CH2, X2 is O, S, CH2 or CH2CH2, n is 1 or 2, a1, a2, c, d1 and d2 each are from 0 to less than 1, b is from 0.01 to less than 1, and a1+a2+b+c+d1+d2=1. The resist composition forms a pattern with high rectangularity at an enhanced resolution when processed by ArF lithography.
    Type: Grant
    Filed: July 5, 2007
    Date of Patent: June 1, 2010
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Ryosuke Taniguchi, Tsunehiro Nishi, Tomohiro Kobayashi
  • Patent number: 7727701
    Abstract: A positive resist composition that exhibits a large exposure margin, and excellent levels of resolution and dry etching resistance, as well as a method of forming a resist pattern that uses the positive resist composition.
    Type: Grant
    Filed: January 14, 2005
    Date of Patent: June 1, 2010
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takuma Hojo, Kiyoshi Ishikawa, Tomoyuki Ando
  • Patent number: 7727709
    Abstract: The present invention improves the OPE characteristic generated by the difference between sparse and dense mask patterns and promotes fidelity in the design of the pattern. Because of this, the present invention includes a step of forming a resist having an acid dissociative dissolution suppression group on a substrate, a step of coating the resist with an acid polymer dissolved in an alcohol based solvent and forming an upper layer film, a step of exposing through a mask, a step of performing a baking process, and a step of processing with an alkali developer, and wherein in the step of performing a baking process, a mixing layer is formed on the resist by the upper layer film and in which a thicker mixing layer is formed in an unexposed part of a region where the pattern density of the mask pattern is high compared to a region where the pattern density is low.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: June 1, 2010
    Assignee: Renesas Technology Corp.
    Inventors: Toshifumi Suganaga, Tetsuro Hanawa, Takeo Ishibashi
  • Patent number: 7727705
    Abstract: An etch resistant thermally curable Underlayer for use in a multiplayer liyhographic process to produce a photolithographic bilayer coated substrate, the composition having: (a) at least one cycloolefin polymer comprising at least one repeating unit of Structure (I), and at least one repeating unit of Structure (II), and optionally at least one repeating unit of Structure (III) with the proviso that neither Structure (I) nor Structure (II) nor Structure (III) contains acid sensitive groups. b) at least one cross-linking agent selected from the group consisting of an amino or phenolic cross-linking agent; c) a least one thermal acid generator (TAG); d) at lest one solvent; and e) optionally, at least one surfactant.
    Type: Grant
    Filed: February 20, 2008
    Date of Patent: June 1, 2010
    Assignee: Fujifilm Electronic Materials, U.S.A., Inc.
    Inventors: Binod B. De, Sanjay Malik, Raj Sakamuri, Chisun Hong
  • Patent number: 7718342
    Abstract: A polymer is provided comprising recurring units having formulas (1), (2), (3), (4), (5), and (6) in amounts of 1-60 mol % (1), 1-60 mol % (2), 1-50 mol % (3), 0-60 mol % (4), 0-30 mol % (5), and 0-30 mol % (6), and having a Mw of 3,000-30,000 and a Mw/Mn of 1.5-2.5. R1, R3, R4, R7, R9, and R11 are H or CH3, Y is methylene or O, R2 is CO2R10 when Y is methylene and R2 is H or CO2R10 when Y is O, R10 is C1-C15 alkyl which may be separated by O, R5 and R6 are H or OH, R8 is a tertiary ester type acid-labile protective group, and R12 is OH-containing fluoroalkyl. A resist composition comprising the polymer has a high resolution and is improved in line edge roughness and I/G bias.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: May 18, 2010
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Kenji Funatsu, Tomohiro Kobayashi, Koji Hasegawa, Tsunehiro Nishi
  • Patent number: 7713683
    Abstract: A method for making a forming structure having columnar protrusions extending therefrom comprising the steps of exposing a liquid photosensitive resin to light having an activating wavelength thereby inducing partial curing of the photosensitive resin to form a monolithic slab of partially-cured photosensitive resin; and, including the step of inducing partial curing of a plurality of protrusions on the monolithic slab such that they are joined to and integral with the monolithic slab. The method can further comprise the step of laser etching a plurality of apertures through the forming structure.
    Type: Grant
    Filed: August 16, 2007
    Date of Patent: May 11, 2010
    Assignee: The Procter & Gamble Company
    Inventors: Brian Francis Gray, Keith Joseph Stone, Sarah Beth Gross, Julie Ann O'Neil, Timothy Paul Fiedeldey
  • Patent number: 7709177
    Abstract: Multilayered resist structures including bilayer and top surface imaging which utilize tuned underlayers functioning as ARCs, planarizing layers, and etch resistant hard masks whose properties such as optical, chemical and physical properties are tailored to give a multilayer resist structure exhibiting high resolution, residue free lithography and methods of preparing these materials.
    Type: Grant
    Filed: October 2, 2007
    Date of Patent: May 4, 2010
    Assignee: International Business Machines Corporation
    Inventors: Marie Angelopoulos, Katherina E. Babich, Douglas Charles LaTulipe, Qinghuang Lin, David R. Medeiros, Wayne Martin Moreau, Karen E. Petrillo, John P. Simons
  • Patent number: 7709164
    Abstract: An image forming method including (a) providing a reimageable medium comprised of a substrate and a photochromic material, wherein the medium is capable of exhibiting a color contrast and an absence of the color contrast; (b) exposing the medium to an imaging light corresponding to a predetermined image to result in an exposed region and a non-exposed region, wherein the color contrast is present between the exposed region and the non-exposed region to allow a temporary image corresponding to the predetermined image to be visible for a visible time; (c) subjecting the temporary image to an indoor ambient condition for an image erasing time to change the color contrast to the absence of the color contrast to erase the temporary image without using an image erasure device; and (d) optionally repeating procedures (b) and (c) a number of times to result in the medium undergoing a number of additional cycles of temporary image formation and temporary image erasure, wherein the reimageable medium is prepared by a s
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: May 4, 2010
    Assignee: Xerox Corporation
    Inventors: Gabriel Iftime, Peter M Kazmaier, Naveen Chopra, San-Ming Yang, Raymond W Wong
  • Patent number: 7704674
    Abstract: A method for patterning a photo-resist in an immersion lithography process is described. The method includes forming a photo-resist layer above a substrate. A hydrophobic and contrast-enhancing barrier layer is formed above the photo-resist layer. The photo-resist layer is exposed, through the hydrophobic and contrast-enhancing barrier layer, to a light source. The photo-resist layer is developed to provide a patterned photo-resist layer.
    Type: Grant
    Filed: December 31, 2008
    Date of Patent: April 27, 2010
    Inventors: Gilles Amblard, Rohit R. Rosario
  • Patent number: 7704670
    Abstract: High silicon-content resin composition that can be used to form thin film thermosets, useful in forming low k dielectric constant materials and as well as hard mask materials with anti-reflective properties for the photolithography industry are disclosed.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: April 27, 2010
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: David J. Abdallah, Ruzhi Zhang
  • Patent number: 7700257
    Abstract: A photoresist composition containing a polymer (A) containing an alkali-soluble constituent unit (a1) containing an alicyclic group having both a fluorine atom or a fluorinated alkyl group (i) and an alcoholic hydroxyl group (ii), whose alkali-solubility is changeable by an action of an acid; an acid generator (B) which generates an acid by light irradiation; and a dissolution inhibitor (C) having a fluorine atom(s) and/or a nitrogen-containing compound (D) selected from a tertiary amine (d1) having a polar group, a tertiary alkylamine (d2) having 7 or more and 15 or less of carbon atoms or an ammonium salt (d3). The composition has a resist property capable of accomplishing line and space (1:1) of 90 nm or less in good shape as a pattern processing accuracy of a semiconductor integrated circuit by lithography.
    Type: Grant
    Filed: March 24, 2004
    Date of Patent: April 20, 2010
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Toshiyuki Ogata, Kotaro Endo, Hiromitsu Tsuji, Masaaki Yoshida
  • Patent number: 7700260
    Abstract: A pattern forming method which uses a positive resist composition comprises: (A) a fluorine-free resin capable of increasing its solubility in an alkaline developer under action of an acid; (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; (C) a fluorine-containing resin having at least one group selected from the group consisting of (X) an alkali-soluble group, (XI) a group capable of decomposing under action of an alkali developer and increasing solubility of the resin (C) in an alkaline developer and (XII) a group capable of decomposing under action of an acid and increasing solubility of the resin (C) in an alkaline developer; and (D) a solvent, the method comprising: (i) a step of applying the positive resist composition to a substrate to form a resist coating; (ii) a step of exposing the resist coating to light via an immersion liquid; (iii) a step of removing the immersion liquid remaining on the resist coating; (iv) a step of heating the resist coating; an
    Type: Grant
    Filed: January 23, 2007
    Date of Patent: April 20, 2010
    Assignee: FUJIFILM Corporation
    Inventors: Shinichi Kanna, Haruki Inabe, Hiromi Kanda
  • Patent number: RE41580
    Abstract: A novel lactone-containing compound is provided as well as a polymer comprising units of the compound. The polymer is used as a base resin to formulate a resist composition having a high sensitivity, resolution and etching resistance.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: August 24, 2010
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Koji Hasegawa, Tsunehiro Nishi, Takeshi Kinsho, Jun Hatakeyama, Osamu Watanabe