Including Heating Patents (Class 430/330)
  • Patent number: 8080350
    Abstract: Disclosed is a positive photosensitive resin composition containing (A) an alkali-soluble resin, (B) a diazoquinone compound, (d1) an activated silicon compound and (d2) an aluminum complex. Also disclosed is a positive photosensitive resin composition containing (A) an alkali-soluble resin, (B) a diazoquinone compound, (C) a compound having two or more oxetanyl groups in one molecule and (D) a catalyst for accelerating the ring-opening reaction of the oxetanyl groups of the compound (C).
    Type: Grant
    Filed: November 17, 2006
    Date of Patent: December 20, 2011
    Assignee: Sumitomo Bakelite Company, Ltd.
    Inventors: Toshio Banba, Ayako Mizushima
  • Patent number: 8067148
    Abstract: A resist film of a positive type containing a photoacid generator is formed on a substrate. Then, pattern exposure is performed by selectively irradiating the resist film with exposure light. Thereafter, first heating is performed on the resist film subjected to the pattern exposure. After the heating, first development is performed on the resist film, thereby forming a first resist pattern. Subsequently, the first resist pattern is exposed to a solution containing a thermal acid generator and containing neither polymer nor a cross-linking agent. After the exposure, second heating is performed on the first resist pattern. Second development is then performed on the first resist pattern, thereby forming a second resist pattern made of the first resist pattern having a reduced size.
    Type: Grant
    Filed: May 14, 2010
    Date of Patent: November 29, 2011
    Assignee: Panasonic Corporation
    Inventors: Masayuki Endou, Masaru Sasago
  • Patent number: 8062844
    Abstract: Protective groups which may be cleaved with an activatable deprotecting reagents are employed to achieve a highly sensitive, high resolution, combinatorial synthesis of pattern arrays of diverse polymers. In preferred embodiments of the instant invention, the activatable deprotecting reagent is a photoacid generator and the protective groups are DMT for nucleic acids and tBOC for amino acids. This invention has a wide variety of applications and is particularly useful for the solid phase combinatorial synthesis of polymers.
    Type: Grant
    Filed: January 21, 2011
    Date of Patent: November 22, 2011
    Assignee: Affymetrix, Inc.
    Inventors: Robert G. Kuimelis, Glenn H. McGall, Martin J. Goldberg, Guangyu Xu
  • Patent number: 8062829
    Abstract: A chemically amplified resist composition, comprising: a resin which includes a structural unit having an acid-labile group in a side chain, a structural unit represented by the formula (I) and a structural unit having a polycyclic lactone structure, and which is soluble in an organic solvent and insoluble or poorly soluble in an alkali aqueous solution but rendered soluble in an alkali aqueous solution by the action of an acid; and an acid generator represented by the formula (II). wherein X1 represents a hydrogen atom, a C1 to C4 alkyl group, etc., Y in each occurrence independently represent a hydrogen atom or an alkyl group, and n is an integer of 1 to 14, R1 to R4 independently represent a hydrogen atom, an alkyl group, etc., and A+ represents an organic counterion, E? represents CF3SO3—, C2F5SO3—, C4F9SO3—, etc., Y1 and Y2 independently represent a fluorine atom or a C1 to C6 perfluoroalkyl group.
    Type: Grant
    Filed: March 2, 2009
    Date of Patent: November 22, 2011
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Mitsuhiro Hata, Yusuke Fuji, Takayuki Miyagawa
  • Patent number: 8062828
    Abstract: A positive resist composition comprises a polymer comprising recurring units having a sulfonium salt incorporated therein as a base resin which becomes soluble in alkaline developer under the action of acid. The polymer generates a strong sulfonic acid upon exposure to high-energy radiation so as to facilitate effective scission of acid labile groups in the resist composition.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: November 22, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Youichi Ohsawa, Takeshi Kinsho, Masaki Ohashi, Seiichiro Tachibana, Takeru Watanabe, Jun Hatakeyama
  • Patent number: 8057981
    Abstract: A polymer obtained through copolymerization of a monomer having a hexafluoroalcohol pendant and a monomer having a hexafluoroalcohol pendant whose hydroxyl moiety has been protected is useful as an additive to a photoresist composition and as a protective coating material for immersion lithography. When processed by immersion lithography, the resist composition and protective coating composition exhibit good water repellency and water slip and produce few development defects.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: November 15, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Yuji Harada, Jun Hatakeyama, Kazunori Maeda, Tomohiro Kobayashi
  • Patent number: 8057982
    Abstract: A pattern is formed by applying a positive resist composition comprising a polymer comprising hydroxyalkylnaphthalene-bearing recurring units and acid labile group-bearing recurring units onto a substrate to form a resist film, heat treating and exposing the resist film to radiation, heat treating and developing the resist film with a developer to form a first pattern, and causing the resist film to crosslink and cure with the aid of heat or of acid and heat. A second pattern is then formed in the space area of the first pattern. The double patterning process reduces the pitch between patterns to one half.
    Type: Grant
    Filed: March 5, 2009
    Date of Patent: November 15, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takeshi Kinsho, Masaki Ohashi, Kazuhiro Katayama
  • Patent number: 8057985
    Abstract: A polymerizable anion-containing sulfonium salt having formula (1) is provided wherein R1 is H, F, methyl or trifluoromethyl, R2, R3 and R4 are C1-C10 alkyl, alkenyl or oxoalkyl or C6-C18 aryl, aralkyl or aryloxoalkyl, or two of R2, R3 and R4 may bond together to form a ring with S, A is a C1-C20 organic group, and n is 0 or 1. The sulfonium salt generates a very strong sulfonic acid upon exposure to high-energy radiation. A resist composition comprising a polymer derived from the sulfonium salt is also provided.
    Type: Grant
    Filed: August 27, 2009
    Date of Patent: November 15, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masaki Ohashi, Takeshi Kinsho, Youichi Ohsawa, Jun Hatakeyama, Seiichiro Tachibana
  • Patent number: 8053168
    Abstract: A printing plate has a substrate and a heat decomposable polymer layer arranged adjacent to the substrate, the decomposable polymer having defined regions within the polymer layer to form a printing pattern. The printing plate may be used in a printing system. The printing plate is formed in a process by providing a substrate, coating the substrate with a heat decomposable polymer to form a plate, and forming a printing pattern in the heat decomposable polymer by selectively decomposing regions of the heat decomposable polymer.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: November 8, 2011
    Assignee: Palo Alto Research Center Incorporated
    Inventors: Jurgen H. Daniel, Eugene M. Chow, Dirk De Bruyker
  • Patent number: 8053172
    Abstract: Photolithography compositions and methods. A first layer of a first photoresist is formed on a substrate. A second layer of a second photoresist is formed directly onto the first layer. The second polymer of the second photoresist includes an absorbing moiety. The second layer is patternwise imaged and developed, resulting in removal of base-soluble regions. A relief pattern from the second layer remains. The relief pattern and the first layer are exposed to a second dose of the radiation. The polymer in the relief pattern absorbs a portion of the second dose. A fraction of the second dose passes through the at least one region of the relief pattern and exposes at least one region of the first layer. The relief pattern and base-soluble regions of the first layer are removed. A relief pattern from the first layer remains. A second photolithography method and a photoresist composition are also included.
    Type: Grant
    Filed: February 21, 2008
    Date of Patent: November 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Scott David Halle, Wu-Song Huang, Ranee Wai-Ling Kwong, Pushkara R. Varanasi
  • Patent number: 8053165
    Abstract: A hydroxyl-containing monomer of formula (1) is provided wherein R1 is H, F, methyl or trifluoromethyl, R2 and R3 are monovalent C1-C15 hydrocarbon groups, or R2 and R3 may form an aliphatic ring. The monomers are useful for the synthesis of polymers which have high transparency to radiation of up to 500 nm and the effect of controlling acid diffusion so that the polymers may be used as a base resin to formulate radiation-sensitive resist compositions having a high resolution.
    Type: Grant
    Filed: March 17, 2009
    Date of Patent: November 8, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takeshi Kinsho, Masaki Ohashi, Koji Hasegawa, Takeru Watanabe
  • Patent number: 8053173
    Abstract: A novel multi-functional linear siloxane compound, a siloxane polymer prepared from the siloxane compound, and a process for forming a dielectric film by using the siloxane polymer. The linear siloxane polymer has enhanced mechanical properties (e.g., modulus), superior thermal stability, a low carbon content and a low hygroscopicity and is prepared by the homopolymerization of the linear siloxane compound or the copolymerization of the linear siloxane compound with another monomer. A dielectric film can be produced by heat-curing a coating solution containing the siloxane polymer which is highly reactive. The siloxane polymer prepared from the siloxane compound not only has satisfactory mechanical properties, thermal stability and crack resistance, but also exhibits a low hygroscopicity and excellent compatibility with pore-forming materials, which leads to a low dielectric constant.
    Type: Grant
    Filed: July 15, 2009
    Date of Patent: November 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae Jun Lee, Jong Baek Seon, Hyun Dam Jeong, Jin Heong Yim, Hyeon Jin Shin
  • Patent number: 8053164
    Abstract: The present invention relates to a resist composition with a hardener and a solvent, and a method for forming a pattern using the resist composition. The hardener has a thermal-decomposable core part, and a first photosensitive bond art. The solvent has a low-molecular resin, and a second photosensitive bond part.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: November 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Bo-Sung Kim, Seung-Jun Lee, Jung-Mok Bae
  • Patent number: 8053149
    Abstract: A method for producing a color filter for an image sensor capable of obtaining pixels each constituted substantially into a rectangular shape and having a favorable cross-sectional pattern profile and capable of effectively preventing the deformation of a dye-containing pixel even in a case of conducting post-baking or the like, the production method comprising the processes of coating a dye-containing photo-curable composition on a substrate directly or by way of another layer, then drying to form a coating film, exposing the coating film, putting the exposed coating film to alkali development and irradiating a Ultraviolet radiation to alkali-developed coating film while heating at 20 to 50° C.
    Type: Grant
    Filed: November 19, 2004
    Date of Patent: November 8, 2011
    Assignee: FUJIFILM Corporation
    Inventors: Yoichi Nemoto, Nobushige Sasaki
  • Patent number: 8048613
    Abstract: An alkali development-type solder resist includes (A) a carboxyl group-containing photosensitive resin obtained by reacting (a) a compound having two or more cyclic ether or thioether groups in the molecule with (b) an unsaturated monocarboxylic acid, reacting the product with (c) a polybasic acid anhydride, reacting the resulting resin with (d) a compound having a cyclic ether group and an ethylenic unsaturated group in the molecule, and reacting the product additionally with (c) a polybasic acid anhydride, (B) an oxime ester-based photopolymerization initiator containing a specific oxime ester group, (C) a compound having two or more ethylenic unsaturated groups in the molecule, and (D) a thermosetting component, wherein the dry film obtained by applying the composition has an absorbance of 0.3 to 1.2 per 25 ?m of the film thickness at a wavelength of 350 to 375 nm.
    Type: Grant
    Filed: October 13, 2008
    Date of Patent: November 1, 2011
    Assignee: Taiyo Ink Mfg. Co., Ltd.
    Inventors: Nobuhito Itoh, Yoko Shibasaki, Kenji Kato, Masao Arima
  • Patent number: 8048610
    Abstract: A polymer comprising recurring units having formulae (1), (2) and (3) is provided as well as a chemically amplified resist composition comprising the same. R1 is H, F, CH3 or CF3, Rf is H, F, CF3 or C2F5, A is an optionally fluorine or oxygen-substituted divalent organic group, R2, R3 and R4 are alkyl, alkenyl, oxoalkyl, aryl, aralkyl or aryloxoalkyl, or may form a ring with the sulfur atom, N=0-2, R8 is H or alkyl, B is a single bond or optionally oxygen-substituted divalent organic group, a=0-3, b=1-3, and X is an acid labile group. The polymer generates a strong sulfonic acid which provides for effective cleavage of acid labile groups in a chemically amplified resist composition.
    Type: Grant
    Filed: April 23, 2009
    Date of Patent: November 1, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Youichi Ohsawa, Jun Hatakeyama, Seiichiro Tachibana, Takeshi Kinsho
  • Patent number: 8043789
    Abstract: A photosensitive compound whose size is smaller than conventional polymer for photoresist, and which has well-defined (uniform) structure, and a photoresist composition including the same are disclosed. The photosensitive compound represented by the following formula. Also, the present invention provides a photoresist composition comprising 1 to 85 wt % (weight %) of the photosensitive compound; 0.05 to 15 weight parts of a photo-acid generator with respect to 100 weight parts of the photosensitive compound; and 10 to 5000 weight parts of an organic solvent. In the formula, n is 0 or 1, x is 1, 2, 3, 4 or 5, y is 2, 3, 4, 5 or 6, z is 0, 1, 2, 3 or 4, R, R? and R? are independently hydrocarbon group of 1 to 30 carbon atoms, preferably 2 to 20 carbon atoms, and R?? is a hydrogen atom or hydrocarbon group of 1 to 30 carbon atoms, preferably 2 to 20 carbon atoms.
    Type: Grant
    Filed: December 17, 2008
    Date of Patent: October 25, 2011
    Assignee: Dongjin Semichem Co., Ltd.
    Inventors: Jae-Woo Lee, Min-Ja Yoo, Jun-Gyeong Lee, Young-Bae Lim, Jae-Hyun Kim
  • Patent number: 8043798
    Abstract: It is disclosed a method of forming fine patterns comprising: covering a substrate having photoresist patterns thereon made of a photoresist composition which is sensitive to high energy light rays with wavelength of 200 nm or shorter or electron beam radiation, with an over-coating agent for forming fine patterns, applying heat treatment to cause thermal shrinkage of the over-coating agent so that the spacing between adjacent photoresist patterns is lessened by the resulting thermal shrinking action, and removing the over-coating agent substantially completely. The present invention provides a method of forming fine patterns whereby fine patterns having pattern width or diameter of 100 nm or shorter and being excellent in uniformity (in-plane uniformity), etc. can be formed by ultrafine processing using high energy light rays with wavelength of 200 nm or shorter or electron beams.
    Type: Grant
    Filed: August 21, 2003
    Date of Patent: October 25, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Tsuyoshi Nakamura, Tasuku Matsumiya, Kiyoshi Ishikawa, Yoshiki Sugeta, Toshikazu Tachikawa
  • Patent number: 8043794
    Abstract: A method of double patterning is disclosed. The method includes forming a first photosensitive layer; exposing the first photosensitive layer using a first reticle; developing the first photosensitive layer thereby forming a first image pattern including first elements; forming a second photosensitive layer; exposing the second photosensitive layer using the first reticle; and developing the second photosensitive layer thereby forming a second image pattern.
    Type: Grant
    Filed: February 1, 2008
    Date of Patent: October 25, 2011
    Assignee: Qimonda AG
    Inventors: Christoph Noelscher, Yi-Ming Chiu, Yuan-Hsun Wu
  • Patent number: 8039195
    Abstract: A method of lithography patterning includes forming a resist pattern on a substrate, the resist pattern including at least one desired opening and at least one padding opening therein on the substrate; forming a patterned photosensitive material layer on the resist pattern and the substrate, wherein the patterned photosensitive material layer covers the padding opening of the resist pattern; and applying a resolution enhancement lithography by assist of chemical shrink (RELACS) process to the desired opening of the resist pattern.
    Type: Grant
    Filed: February 8, 2008
    Date of Patent: October 18, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jen-Chieh Shih, Hsiao-Wei Yeh
  • Patent number: 8039198
    Abstract: A polymer comprising recurring units of a sulfonium salt represented by formula (1) is provided as well as a chemically amplified resist composition comprising the same. R1 is H, F, methyl or trifluoromethyl, R2 to R4 are C1-C10 alkyl or alkoxy, R5 is C1-C30 alkyl or C6-C14 aryl, k, m and n are 0 to 3. The recurring units generate a sulfonic acid upon exposure to high-energy radiation so as to facilitate effective scission of acid labile groups in the resist composition. The resist composition exhibits excellent resolution and a pattern finish with minimal LER.
    Type: Grant
    Filed: March 13, 2009
    Date of Patent: October 18, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Seiichiro Tachibana, Jun Hatakeyama, Youichi Ohsawa, Masaki Ohashi
  • Patent number: 8039193
    Abstract: Provided are methods of applying a tissue marking to a tissue and rendering said tissue marking colorless when desired, comprising implanting into the tissue an amount of the tissue marking in sufficient quantity to form a detectable marking, said tissue marking comprising at least one colored compound comprising a thermally activatable fragmentation group and at least one infrared absorbing compound, wherein the at least one colored compound is capable of being rendered colorless by unimolecular fragmentation of the thermally activatable fragmentation group when the tissue marking is non-imagewise exposed by a source of infrared radiation and applying sufficient infrared radiation to a sufficient amount of the tissue marking to render the tissue marking colorless when desired.
    Type: Grant
    Filed: September 13, 2007
    Date of Patent: October 18, 2011
    Assignee: Performance Indicator LLC
    Inventors: Satish Agrawal, Roger Boggs
  • Patent number: 8034541
    Abstract: A process for producing a non-transparent microvoided self-supporting film comprising the steps of: i) mixing at least one linear polyester having together monomer units consisting essentially of terephthalate, isophthalate and aliphatic dimethylene with the molar ratio of isophthalate monomer units to terephthalate monomer units being at least 0.
    Type: Grant
    Filed: October 2, 2007
    Date of Patent: October 11, 2011
    Assignee: Agfa-Gevaert N.V.
    Inventor: Dirk Quintens
  • Patent number: 8034539
    Abstract: An exposure system includes an exposure section for irradiating a formed resist film with exposing light through a mask with an immersion liquid provided on the resist film, and a drying section for drying a surface of the resist film after irradiation.
    Type: Grant
    Filed: February 23, 2007
    Date of Patent: October 11, 2011
    Assignee: Nikon Corporation
    Inventors: Nobutaka Magome, Hiroaki Takaiwa, Dai Arai
  • Patent number: 8034547
    Abstract: A pattern forming method includes (a) coating a substrate with a resist composition including a resin that includes a repeating unit represented by a following general formula (NGH-1), and, by the action of an acid, increases the polarity and decreases the solubility in a negative developing solution; (b) exposing; and (d) developing with a negative developing solution: wherein RNGH1 represents a hydrogen atom or an alkyl group; and RNGH2 to RNGH4 each independently represents a hydrogen atom or a hydroxyl group, provided that at least one of RNGH2 to RNGH4 represents a hydroxyl group.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: October 11, 2011
    Assignee: FUJIFILM Corporation
    Inventors: Hideaki Tsubaki, Shinji Tarutani, Kazuyoshi Mizutani, Kenji Wada, Wataru Hoshino
  • Patent number: 8034532
    Abstract: A topcoat material for application on top of a photoresist material is disclosed. The topcoat material comprises an acid-inert compound. The topcoat material also comprises a polymer or an oligomer or a cage structure which shows negligible intermixing with the imaging layer and is soluble in aqueous base developer. A method of forming a patterned material layer on a substrate and a coated substrate comprising the topcoat material is also disclosed.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: October 11, 2011
    Assignee: International Business Machines Corporation
    Inventors: Robert David Allen, Phillip Joe Brock, Carl E. Larson, Ratnam Sooriyakumaran, Linda Karin Sundberg, Hoa D Truong
  • Patent number: 8029975
    Abstract: A resist composition and a method for forming a patterned feature on a substrate. The composition comprises a molecular glass having at least one fused polycyclic moiety and at least one base soluble functional group protected with an acid labile protecting group, and a photosensitive acid generator. The method includes providing a composition including a photosensitive acid generator and a molecular glass having at least one fused polycyclic moiety and at least one base soluble functional group protected with an acid labile protecting group, forming a film of the composition on the substrate, patternwise imaging the film, wherein at least one region of the film is exposed to radiation or a beam of particles, resulting in production of an acid catalyst in the exposed region, baking the film, developing the film, resulting in removal of base-soluble exposed regions, wherein a patterned feature from the film remains following the removal.
    Type: Grant
    Filed: July 24, 2009
    Date of Patent: October 4, 2011
    Assignee: International Business Machines Corporation
    Inventors: James J. Bucchignano, Wu-Song Huang, Pushkara R. Varanasi, Roy R. Yu
  • Patent number: 8029971
    Abstract: Compositions, a method, and a photopatternable blend. The compositions include a blend of a first and a second polymer. The first polymer is a substituted silsesquioxane copolymer. The second polymer is a substituted silsesquioxane polymer. The second polymer is configured to undergo chemical crosslinking with the first polymer, the second polymer, or a combination thereof, upon exposure to light, thermal energy, or a combination thereof. The compositions include a photosensitive acid generator. The method includes forming a film. The film is patternwise imaged, and at least one region is exposed to radiation. After the imaging, the film is baked, wherein at least one exposed region is rendered substantially soluble. After the baking, the film is developed, wherein a relief pattern remains. The relief pattern is exposed to radiation. The relief pattern is baked. The relief pattern is cured. A chemically amplified positive-tone photopatternable blend is also described.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: October 4, 2011
    Assignee: International Business Machines Corporation
    Inventors: Robert D. Allen, Phillip Joe Brock, Blake W. Davis, Qinghuang Lin, Robert D. Miller, Alshakim Nelson, Ratnam Sooriyakumaran
  • Patent number: 8021829
    Abstract: Provided is a method of forming a photoresist pattern enabling the three dimensional shape of a photoresist pattern to be controlled sufficiently. A photoresist pattern for forming a main magnetic pole layer can be formed by forming a preparatory photoresist pattern having a projected part at a position corresponding to a flare point by selectively exposing and developing a photoresist film, and then heating the preparatory photoresist pattern. In the heating process, the presence of the projected part in the preparatory photoresist pattern relaxes the influence of thermal contraction, and hence the photoresist film is hard to be rounded at the position. Moreover, in the heating process, the preparatory photoresist pattern can be thermally flown and thermally contracted, so that the internal wall in the opening part can be inclined and the projected part can be retreated. Thus, the three dimensional shape of the photoresist pattern approaches a desired three dimensional shape.
    Type: Grant
    Filed: March 2, 2007
    Date of Patent: September 20, 2011
    Assignee: TDK Corporation
    Inventor: Akifumi Kamijima
  • Patent number: 8021822
    Abstract: A positive resist composition comprises (A) a resin component which becomes soluble in an alkaline developer under the action of an acid and (B) an acid generator. The resin (A) is a polymer comprising specific recurring units, represented by formula (1). The acid generator (B) is a specific sulfonium salt compound. When processed by lithography, the composition is improved in resolution and forms a pattern with a satisfactory mask fidelity and a minimal LER. Herein R1 is H or methyl, m is 1 or 2, and n is 1 or 2.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: September 20, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Youichi Ohsawa, Takeshi Kinsho, Takeru Watanabe
  • Patent number: 8017302
    Abstract: A positive resist composition comprises (A) a resin component which becomes soluble in an alkaline developer under the action of an acid and (B) an acid generator. The resin (A) is a polymer comprising specific recurring units, represented by formula (1). The acid generator (B) is a specific sulfonium salt compound. When processed by lithography, the composition is improved in resolution and forms a pattern with a minimal LER. Herein R1 is H or methyl, R2 is an acid labile group, R3 is CO2R4 when X is CH2, R3 is H or CO2R4 when X is O, R4 is a monovalent C1-C20 hydrocarbon group, and m is 1 or 2.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: September 13, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Youichi Ohsawa, Takeshi Kinsho, Takeru Watanabe
  • Patent number: 8017297
    Abstract: Imaged articles and methods for producing imaged articles in which an image has been transferred by dye sublimations techniques to a powder coated substrate are described. The powder coated substrates may include various metals or alloys. The powder coated substrate may include a white powder coating layer and an over cured clear coat layer. The imaged article may be subsequently formed into desired three-dimensional shapes without significant loss in image quality or image degradation.
    Type: Grant
    Filed: February 20, 2008
    Date of Patent: September 13, 2011
    Assignee: Custom Signs on Metal LLC
    Inventor: Joseph Dale Davis
  • Patent number: 8012670
    Abstract: New photoresist systems are provided that comprise an underlying processing (or barrier) layer composition and an overcoated photoresist layer. Systems of the invention can exhibit significant adhesion to SiON and other inorganic surface layers.
    Type: Grant
    Filed: April 11, 2003
    Date of Patent: September 6, 2011
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Gary N. Taylor, Cheng-Bai Xu
  • Patent number: 8007968
    Abstract: In the present invention, patterning for the first time is performed on a film to be worked above the front surface of a substrate, and the actual dimension of the pattern formed by the patterning for the first time is measured. Based on the dimension measurement result of the patterning or the first time, the condition of patterning for the second time is then set. In this event, the condition of the patterning for the second time is set so that a difference between the dimension of the patterning for the first time and its target dimension is equal to a difference between the dimension of the patterning for the second time and its target dimension. Thereafter, the patterning for the second time is performed under the set patterning condition.
    Type: Grant
    Filed: July 25, 2007
    Date of Patent: August 30, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Yoshiaki Yamada, Tadayuki Yamaguchi, Yuuichi Yamamoto, Yasuhito Saiga, Kazuo Sawai
  • Patent number: 8007990
    Abstract: Thick film layers for a micro-fluid ejection head, micro-fluid ejection heads, and methods for making micro-fluid ejection head and thick film layers. One such thick film layer is derived from a difunctional epoxy component having a weight average molecular weight ranging from about 2500 to about 4000 Daltons, a photoacid generator, an aryl ketone solvent, and an adhesion enhancing component. One such thick film layer has a cross-link density upon curing that increases the dimensional stability of the thick film layer sufficient to provide flow features therein having substantially vertical walls.
    Type: Grant
    Filed: July 2, 2009
    Date of Patent: August 30, 2011
    Assignee: Lexmark International, Inc.
    Inventors: Girish S. Patil, Sean T. Weaver, Rich Wells
  • Patent number: 8007989
    Abstract: A method for forming a patterned ferroelectric layer, having ferroelectric electronic properties, on a substrate. A composite layer, made of ferroelectric layer producing metal acrylate compounds, a photoinitiator compound and an acrylate crosslinking compound is formed on the substrate. A photomask is formed on the composite layer. Unmasked areas of the composite layer are irradiated with ultraviolet light. A solvent removes non-irradiated areas of the composite layer from the substrate. The patterned composite layer is heated in an oxygen atmosphere to cause a chemical reaction among the ferroelectric layer producing metal acrylate compounds and oxygen, a patterned ferroelectric layer being formed on the substrate.
    Type: Grant
    Filed: April 11, 2008
    Date of Patent: August 30, 2011
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventor: Thomas Kirk Dougherty
  • Patent number: 8003295
    Abstract: A pattern is formed by applying a first positive resist composition onto a substrate, heat treatment, exposure, heat treatment and development to form a first resist pattern, the first positive resist composition comprising a polymer having copolymerized recurring units having naphthol and recurring units with an alkaline solubility that increases under the action of acid; causing the first resist coating to crosslink and cure by irradiation of high-energy radiation of sub-200 nm wavelength; further applying a second positive resist composition onto the substrate, heat treatment, exposure, heat treatment and development to form a second resist pattern. The double patterning process reduces the pitch between patterns to one half.
    Type: Grant
    Filed: September 4, 2008
    Date of Patent: August 23, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Jun Hatakeyama
  • Patent number: 7993816
    Abstract: In one embodiment, the present invention provides a method for patterning a surface that includes forming a block copolymer atop a heterogeneous reflectivity surface, wherein the block copolymer is segregated into first and second units; applying a radiation to the first units and second units, wherein the heterogeneous reflectivity surface produces an exposed portion of the first units and the second units; and applying a development cycle to selectively remove at least one of the exposed first and second units of the segregated copolymer film to provide a pattern.
    Type: Grant
    Filed: March 17, 2008
    Date of Patent: August 9, 2011
    Assignee: International Business Machines Corporation
    Inventors: Charles T. Black, Matthew E. Colburn, David L. Rath
  • Patent number: 7993811
    Abstract: A positive resist composition comprises (A) a resin component which becomes soluble in an alkaline developer under the action of an acid and (B) an acid generator. The resin (A) is a polymer comprising specific recurring units, represented by formula (1). The acid generator (B) is a specific sulfonium salt compound. When processed by lithography, the composition is improved in resolution and forms a pattern with a satisfactory mask fidelity and a minimal LER. Herein R1 is H or methyl, R2 is an acid labile group, R3 is CO2R4 when X is CH2, R3 is H or CO2R4 when X is O, R4 is a monovalent C1-C20 hydrocarbon group, and m is 1 or 2.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: August 9, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Youichi Ohsawa, Takeshi Kinsho, Takeru Watanabe
  • Patent number: 7993810
    Abstract: A (meth)acrylate compound having an aromatic acid-labile group, the (meth)acrylate compound being represented by the following Formula 1: In Formula I, R1 is hydrogen or methyl, R2 is hydrogen, a substituted or unsubstituted alkyl, or a substituted or unsubstituted aryl, R3 is hydrogen, a substituted or unsubstituted alkyl, or a substituted or unsubstituted aryl, AR is a substituted or unsubstituted phenyl, or a substituted or unsubstituted aryl having from two to four fused aromatic rings, and carbon CAR is bonded directly to an aromatic ring of AR.
    Type: Grant
    Filed: December 17, 2008
    Date of Patent: August 9, 2011
    Assignee: Cheil Industries, Inc.
    Inventors: Sang-Jun Choi, Youn-Jin Cho, Seung-Wook Shin, Hye-Won Kim
  • Patent number: 7993819
    Abstract: Electrowetting display devices and fabrication methods thereof are presented. The electrowetting display device includes a first substrate and a second substrate with a polar fluid layer and a non-polar fluid layer insolvable to each other and interposed between the first and second substrates. A first transparent electrode is disposed on the first substrate. A second electrode is disposed on the second substrate. A dielectric layer is disposed on the second electrode. A hydrophilic partition wall structure is directly disposed on the dielectric layer defining a plurality of pixel regions. A layer of low surface energy material is disposed on the dielectric layer within each of the pixel region.
    Type: Grant
    Filed: May 19, 2010
    Date of Patent: August 9, 2011
    Assignee: Industrial Technology Research Institute
    Inventors: Kuo-Lung Lo, Chih-Chun Hsiao
  • Patent number: 7989155
    Abstract: The present invention provides a method of lithographic patterning. The method comprises: applying to a surface to be patterned a photoresist (18) comprising a polymer resin, a photocatalyst generator which generates a catalyst on exposure to actinic radiation, and a quencher; exposing the photoresist (18) to actinic radiation through a mask pattern (12); carrying out a post-exposure bake; and then developing the photoresist (18) with a developer to remove a portion of the photoresist which has been rendered soluble in the developer. Either the polymer resin is substantially insoluble in the developer prior to exposure to actinic radiation and rendered soluble in the developer by the action of the catalyst, and by the action of the quencher during the bake, or the polymer resin is soluble in the developer prior to exposure to actinic radiation and rendered substantially insoluble in the developer by the action of the catalyst, and by the action of the quencher during the bake.
    Type: Grant
    Filed: September 5, 2006
    Date of Patent: August 2, 2011
    Assignee: NXP B.V.
    Inventors: Peter Zandbergen, Jeroen H Lammers, David Van Steenwinckel
  • Patent number: 7985516
    Abstract: A processing temperature of thermal processing is corrected based on measurement of a first dimension of a resist pattern on a substrate from a previously obtained relation between a dimension of a resist pattern and a temperature of thermal processing, a second dimension of the resist pattern after thermal processing is performed at the corrected processing temperature is measured, a distribution within the substrate of the second dimension is classified into a linear component expressed by an approximated curved surface and a nonlinear component, a processing condition of exposure processing is corrected based on the linear component from a previously obtained relation between a dimension of a resist pattern and a processing condition of exposure processing, and thermal processing at the processing temperature corrected in a temperature correcting step and exposure processing under the processing condition corrected in an exposure condition correcting step are performed to form a predetermined pattern.
    Type: Grant
    Filed: April 20, 2009
    Date of Patent: July 26, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kunie Ogata, Masahide Tadokoro, Tsuyoshi Shibata, Shinichi Shinozuka
  • Patent number: 7977038
    Abstract: In the present invention, the position of a substrate on a thermal plate is detected when baking after exposure is performed in a first round of patterning. In a second round of patterning, the setting position of the substrate is adjusted based on a detection result of the position before the substrate is mounted on the thermal plate in the baking after exposure. In the baking after exposure in the second round of patterning, the substrate is mounted at the same position with respect to the thermal plate as that in the baking after exposure in the first round of patterning. In performing a plurality of rounds of patterning on a film to be processed, a pattern with a desired dimension is finally formed above the substrate, and the uniformity of the pattern dimension within the substrate is ensured.
    Type: Grant
    Filed: December 6, 2007
    Date of Patent: July 12, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Takahisa Otsuka
  • Patent number: 7977019
    Abstract: A semiconductor device manufacturing method, a semiconductor device manufacturing equipment and a computer readable medium storing a computer program provide for easily identifying a cause of a deviation of pattern dimensions from the objective dimension. A first storage section stores a relation between a PEB temperature and a photoresist dimension of a post-lithography. A second storage section stores a relation between a PEB temperature and a post-etching dimension. A primary correction section determines a first corrected PEB temperature for conforming the photoresist dimension of a post-lithography to the objective dimension, using the relation data stored in the first storage section. A secondary correction section determines the second corrected PEB temperature for conforming the post-etching dimension using the first corrected PEB temperature to the objective dimension, using the relation data stored in the second storage section.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: July 12, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Takashi Murakami
  • Patent number: 7977017
    Abstract: Methods of fabricating a photomask, methods of treating a chemically amplified resist-coated photomask blank, a photomask blank resulting from the methods, and systems for fabricating a photomask are provided. The method is useful for recovering the exposure sensitivity of a chemically amplified resist disposed on a photomask blank from a post-coat delay effect.
    Type: Grant
    Filed: November 2, 2010
    Date of Patent: July 12, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Baorui Yang
  • Patent number: 7976894
    Abstract: Novel materials with thermally reversible curing mechanisms are provided. These inventive compositions are useful in forming microelectronic structures, such as dual damascene structures. The compositions comprise a crosslinkable polymer dispersed or dissolved in a solvent system with a crosslinking agent. In use, the compositions are applied to a substrate and crosslinked. Additional layers may be applied on top of the cured layer followed by additional processing steps. Upon exposure to a temperature above the crosslinking temperature of the composition, the cured layer will undergo a decrosslinking reaction to render the layer soluble in common photoresist solvents, including solvents used to make the composition itself. Thus, after processing, the remaining material can be dissolved away without damaging the substrate. The inventive materials are especially suited for processes involving low-k dielectric substrates.
    Type: Grant
    Filed: November 13, 2008
    Date of Patent: July 12, 2011
    Assignee: Brewer Science Inc.
    Inventors: Daniel M. Sullivan, Marc W. Weimer
  • Patent number: 7977033
    Abstract: The present invention relates to a method of forming a pattern of a semiconductor device. According to the method in accordance with an aspect of the present invention, a photoresist film is formed on a semiconductor substrate. An exposure process is performed on a plurality of light transparent patterns arranged in tandem and the photoresist film corresponding between the light transparent patterns using a photomask including the light transparent patterns. A photoresist pattern is formed by performing a development process so that an opening portion of a line form is formed in the light transparent patterns and the photoresist film between the light transparent patterns. Accordingly, a uniform line pattern can be formed.
    Type: Grant
    Filed: December 27, 2007
    Date of Patent: July 12, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Min Kim
  • Patent number: 7977030
    Abstract: A photosensitive resin composition, a photosensitive resin laminate, and a method for forming a pattern capable of realizing high hardness while using an epoxy group-containing acrylic resin are provided. In a photosensitive resin composition including (A) an epoxy group-containing acrylic resin, (B) a photopolymerization initiator, and (C) a sensitizer, an onium salt having a specific structure is used as the component (B), and at least one kind selected from 1,5-dihydroxynaphthalene, 2,3-dihydroxynaphthalene, and 2,6-dihydroxynaphthalene is used as the component (C).
    Type: Grant
    Filed: June 10, 2008
    Date of Patent: July 12, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takahiro Senzaki, Koichi Misumi, Koji Saito
  • Patent number: 7972765
    Abstract: A pattern forming method is disclosed, which comprises forming a photo resist film on a substrate, irradiating the photo resist film with an energy ray to form a desired latent image pattern, placing the substrate on a spacer provided on a hot plate, heating the photo resist film by using the hot plate, and developing the photo resist film to form a photo resist pattern, wherein an amount of irradiation of the energy ray is set such that the amount of irradiation of the energy ray in an exposure region in which a distance between a back surface of the substrate and an upper surface of the hot plate is long is larger than the amount of irradiation of the energy ray in an exposure region in which a distance between the back surface of the substrate and the upper surface of the hot plate is short.
    Type: Grant
    Filed: June 1, 2009
    Date of Patent: July 5, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kenji Kawano, Tsuyoshi Shibata, Kei Hayasaki