Including Heating Patents (Class 430/330)
  • Patent number: 8153348
    Abstract: Method and systems for patterning a hardmask film using ultraviolet light is disclosed according to one embodiment of the invention. Embodiments of the present invention alleviate the processing problem of depositing and etching photoresist in order to produce a hardmask pattern. A hardmask layer, such as, silicon oxide, is first deposited on a substrate within a deposition chamber. In some cases, the hardmask layer is baked or annealed following deposition. After which, portions of the hardmask layer are exposed with ultraviolet light. The ultraviolet light produces a pattern of exposed and unexposed portions of hardmask material. Following the exposure, an etching process, such as a wet etch, may occur that removes the unexposed portions of the hardmask. Following the etch, the hardmask may be annealed, baked or subjected to a plasma treatment.
    Type: Grant
    Filed: February 20, 2008
    Date of Patent: April 10, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Srinivas D. Nemani, Shankar Venkataraman, Ellie Y. Yieh
  • Patent number: 8148052
    Abstract: A method of forming a pattern in at least one device layer in or on a substrate comprises: coating the device layer with a first photoresist layer; exposing the first photoresist using a first mask; developing the first photoresist layer to form a first pattern on the substrate; coating the substrate with a protection layer; treating the protection layer to cause a change therein where it is in contact with the first photoresist, to render the changed protection layer substantially immune to a subsequent exposure and/or developing step; coating the substrate with a second photoresist layer; exposing the second photoresist layer using a second mask; and developing the second photoresist layer to form a second pattern on the substrate without significantly affecting the first pattern in the first photoresist layer, wherein the first and second patterns together define interspersed features having a spatial frequency greater than that of the features defined in each of the first and second patterns separately.
    Type: Grant
    Filed: November 13, 2007
    Date of Patent: April 3, 2012
    Assignee: NXP B.V.
    Inventors: Anja Monique Vanleenhove, Peter Dirksen, David Van Steenwinckel, Gerben Doornbos, Casper Juffermans, Mark Van Dal
  • Patent number: 8148051
    Abstract: A method and system to form openings comprises an exposure apparatus and a mask to selectively expose a semiconductor substrate to a radiation source to transfer assist feature patterns and primary feature patterns to a photosensitive layer of the substrate. A heating apparatus eliminates the assist features by heating the substrate and shrinking the primary features. The patterns on the photosensitive layer are transferred to a layer under the photosensitive layer by an etching process.
    Type: Grant
    Filed: June 20, 2008
    Date of Patent: April 3, 2012
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Tzong-Hsien Wu, Chao-Lung Lo
  • Patent number: 8148050
    Abstract: Disclosed herein is a method for fabricating a probe needle tip of a probe card, in which, in order to prevent a poor grinding effect caused by irregular removal or flexibility of the photoresists laminated to be high in the course of polishing a first metal loaded into the opening of the photoresists laminated into a multilayer configuration upon formation of the probe needle tip of the probe card, a second metal is laminated on any one of one or more stacked photoresist layers, thus firmly holding the photoresist layers on/beneath the metal.
    Type: Grant
    Filed: May 8, 2006
    Date of Patent: April 3, 2012
    Assignees: Byung Ho Jo, Microfriend Inc.
    Inventor: Byung Ho Jo
  • Patent number: 8142964
    Abstract: In a multiple-exposure lithographic process a developed resist pattern derived from a first exposure is present within a second resist layer that is exposed in a second exposure of the multiple-exposure lithographic process. The second mask pattern used in the second exposure process includes at least one localized adjustment to at least one feature thereof to compensate for scattering effects of the developed resist pattern that is present when the second exposure is performed.
    Type: Grant
    Filed: July 8, 2009
    Date of Patent: March 27, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Sander De Putter, Jozef Maria Finders, Bertus Johan Vleeming
  • Patent number: 8142985
    Abstract: A method for manufacturing a semiconductor device including: forming a resist film 11 on a film to be processed 32; baking the resist film 11; performing immersion exposure on the resist film 11 after the baking; performing post exposure bake on the resist film 11 after performing the immersion exposure; developing the resist film 11 after performing the post exposure bake; and after the post exposure bake is performed on the resist film 11, removing an edge 15 of the resist film 11, the edge not being exposed.
    Type: Grant
    Filed: June 3, 2009
    Date of Patent: March 27, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Katsutoshi Kobayashi
  • Patent number: 8142988
    Abstract: Organic coating composition are provided including antireflective coating compositions that can reduce reflection of exposing radiation from a substrate back into an overcoated photoresist layer and/or function as a planarizing or via-fill layer. Preferred compositions of the invention comprise contain a crosslinker component that is resistant to sublimination or other migration crosslinker from the composition coating layer during lithographic processing.
    Type: Grant
    Filed: March 20, 2006
    Date of Patent: March 27, 2012
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Anthony Zampini, Edward K. Pavelchek
  • Patent number: 8142979
    Abstract: A resist composition for immersion exposure, including a base component (A) that exhibits changed solubility in an alkali developing solution under action of acid, an acid generator component (B) that generates acid upon exposure, and a fluorine-containing compound (C) represented by general formula (c-1) (in formula (c-1), R1 represents an organic group which may contain a polymerizable group; X represents a divalent organic group having an acid dissociable portion; and R2 represents an organic group having a fluorine atom).
    Type: Grant
    Filed: June 10, 2009
    Date of Patent: March 27, 2012
    Assignee: Tokyo Ohka Tokyo Co., Ltd.
    Inventors: Tsuyoshi Kurosawa, Hiroaki Shimizu
  • Patent number: 8133644
    Abstract: A method of forming an image having multiple phases is disclosed herein. The method includes forming exposed and unexposed areas, the exposed areas comprising a first polymer network exhibiting first and second phases that are chemically connected and have different refractive indices, the first phase being continuous, and the second phase comprising a plurality of structures dispersed within the first phase, and the unexposed areas comprising a second polymer network comprising third and fourth phases that are chemically connected and have different refractive indices, the third phase being continuous, and the fourth phase comprising a plurality of structures dispersed within the third phase. The first and second polymer networks are chemically connected, and morphology formed by the first and second phases is different than that formed by the third and fourth phases.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: March 13, 2012
    Assignee: 3M Innovative Properties Company
    Inventors: Mieczyslaw H. Mazurek, Raymond P. Johnston, John E. Potts, Marc D. Radcliffe, Kevin R. Schaffer, Audrey A. Sherman, Wendi J. Winkler
  • Patent number: 8133659
    Abstract: This invention provides methods of creating via or trench structures on a developer-soluble hardmask layer using a multiple exposure-development process. The hardmask layer is patterned while the imaging layer is developed. After the imaging layer is stripped using organic solvents, the same hardmask can be further patterned using subsequent exposure-development processes. Eventually, the pattern can be transferred to the substrate using an etching process.
    Type: Grant
    Filed: January 29, 2009
    Date of Patent: March 13, 2012
    Assignee: Brewer Science Inc.
    Inventors: Sam X. Sun, Hao Xu, Tony D. Flaim
  • Patent number: 8129096
    Abstract: A method capable of easily and simply manufacturing a conductive member pattern such as a nano-size fine wiring or electrode is disclosed. Specifically, the disclosed method for manufacturing a conductive member pattern includes the steps of: forming an ion-exchangeable resin pattern on a substrate by using a photosensitive resin; making the resin pattern absorb a metal component-containing solution; and baking the resin pattern having absorbed the metal component-containing solution, wherein the width and the ratio “width/height” of the resin pattern before baking are 1 ?m or less and 5 or less, respectively.
    Type: Grant
    Filed: April 22, 2009
    Date of Patent: March 6, 2012
    Assignee: Canon Kabushiki Kaisha
    Inventors: Koki Nukanobu, Naofumi Aoki
  • Patent number: 8129092
    Abstract: The present invention provides a resist pattern thickening material, which can utilize ArF excimer laser light; which, when applied over a resist pattern such as an ArF resist having a line pattern or the like, can thicken the resist pattern regardless of the size of the resist pattern; which has excellent etching resistance; and which is suited for forming a fine space pattern or the like, exceeding the exposure limits. The present invention also provides a process for forming a resist pattern and a method for manufacturing a semiconductor device, wherein the resist pattern thickening material of the present invention is suitably utilized.
    Type: Grant
    Filed: February 27, 2006
    Date of Patent: March 6, 2012
    Assignee: Fujitsu Limited
    Inventors: Miwa Kozawa, Koji Nozaki
  • Patent number: 8129088
    Abstract: A low-resistance, fine electrode is formed by baking in air a photosensitive paste which has an inorganic component containing copper powder, boron powder, and glass frit, and an organic component containing a photopolymerization initiator, monomer, and organic vehicle, and in which the average particle size of the copper powder is 2.5 ?m or less, and the content of boron powder based on the total amount of copper powder and boron powder is 8 to 25 wt %.
    Type: Grant
    Filed: July 2, 2009
    Date of Patent: March 6, 2012
    Assignee: E.I. du Pont de Nemours and Company
    Inventor: Masakatsu Kuroki
  • Patent number: 8129086
    Abstract: A polymer suitable as a base resin for a positive resist composition, in particular a chemically amplified positive resist composition, having a higher resolution, a larger exposure allowance, a smaller sparse-dense size difference, a better process applicability, a better pattern configuration after exposure, and in addition, a further excellent etching resistance, than a conventional positive resist. A positive resist composition using the same, a patterning process, and a novel polymerizable compound to obtain the polymer. A polymer has a hydrogen atom of at least a carboxyl group is substituted by an acid labile group represented by the following general formula (2), a positive resist composition using the same, a patterning process, and a novel polymerizable compound to obtain a polymer like this.
    Type: Grant
    Filed: May 18, 2009
    Date of Patent: March 6, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takeru Watanabe, Seiichiro Tachibana
  • Patent number: 8129090
    Abstract: A method of processing an on-press developable lithographic printing plate with ink and/or fountain solution is described. The plate comprises on a substrate a photosensitive layer which is either capable of hardening (negative-working) or solubilization (positive-working) upon exposure to a laser, the non-hardened or solubilized areas of the photosensitive layer being soluble or dispersible in ink and/or fountain solution. The plate is exposed with a laser, heated to an elevated temperature, and then developed with ink and/or fountain solution on a lithographic press. The laser exposed plate is preferably heated by passing through a heating device or while mounted on a lithographic press before on-press development.
    Type: Grant
    Filed: September 23, 2008
    Date of Patent: March 6, 2012
    Inventor: Gary Ganghui Teng
  • Patent number: 8129080
    Abstract: A method and system for patterning a substrate using a dual-tone development process is described. The method and system comprise using a resist material having a polymer backbone with a plurality of protecting groups attached thereto to improve process latitude and critical dimension uniformity for the dual-tone development process.
    Type: Grant
    Filed: September 16, 2009
    Date of Patent: March 6, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Carlos A. Fonseca, Mark Somervell, Steven Scheer
  • Patent number: 8124327
    Abstract: The present invention involves a method for generating a photoresist image on a substrate. The method comprises coating a substrate with a film comprising a polymer comprising fluorocarbinol monomers; imagewise exposing the film to radiation; heating the film to a temperature of, at, or below about 90° C. and developing the image. The present invention also relates to a method for generating a photoresist image on a substrate where a polymer comprising fluorocarbinol monomers is used as a protective top coat.
    Type: Grant
    Filed: June 4, 2010
    Date of Patent: February 28, 2012
    Assignee: International Business Machines Corporation
    Inventors: Gregory Breyta, Daniel Paul Sanders, Hoa D. Truong
  • Patent number: 8124328
    Abstract: An imaged and developed element, such as a lithographic printing plate, is provided by infrared radiation imaging of a positive-working imagable element having inner and outer imagable layers. One or both layers contain a polymeric binder having pendant 1H-tetrazole groups. The imaged element is developed with a single processing solution having a pH of from about 5 to about 11 to remove predominantly only the exposed regions and to provide a protective layer over the imaged surface.
    Type: Grant
    Filed: May 20, 2008
    Date of Patent: February 28, 2012
    Assignee: Eastman Kodak Company
    Inventors: Celin Savariar-Hauck, Rene Ullrich, Manuel Klamt
  • Patent number: 8124311
    Abstract: Disclosed are a photosensitive compound containing oxime group which is directly decomposed by exposure to light, which is a molecular resist whose size is smaller than conventional polymer for photoresist, and a photoresist composition including the same. The photosensitive molecular compound has a structure represented by a following formula. In Formula, R1 is hydrogen atom or methyl group (CH3); Ra and Rb each is independently alkyl group of 1-6 carbon atoms, alkylcarbonyl group of 2-7 carbon atoms, aryl group of 6-10 carbon atoms or arylcarbonyl group of 7-11 carbon atoms, and Ra and Rb form one group as an united body, alkyl or cycloalkyl group of 1-20 carbon atoms or arylalkyl group of 7-20 carbon atoms which are doubly bonded to nitrogen atom.
    Type: Grant
    Filed: January 29, 2009
    Date of Patent: February 28, 2012
    Assignee: Dongjin Semichem Co., Ltd.
    Inventors: Jung-Youl Lee, Jeong-Sik Kim, Eu-Jean Jang, Jae-Woo Lee, Jae-Hyun Kim
  • Patent number: 8124318
    Abstract: It is disclosed an over-coating agent for forming fine patterns which is applied to cover a substrate having thereon photoresist patterns and allowed to shrink under heat so that the spacing between adjacent photoresist patterns is lessened, with the applied film of the over-coating agent being removed substantially completely to form or define fine trace patterns, further characterized by containing either a water-soluble polymer and an amide group-containing monomer or a water-soluble polymer which contains at least (meth)acrylamide as a monomeric component. Also disclosed is a method of forming fine-line patterns using any one of said over-coating agents. According to the invention, the thermal shrinkage of the over-coating agent for forming fine patterns in the heat treatment can be extensively increased, and one can obtain fine-line patterns which exhibit good profiles while satisfying the characteristics required of semiconductor devices.
    Type: Grant
    Filed: August 24, 2010
    Date of Patent: February 28, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshiki Sugeta, Fumitake Kaneko, Toshikazu Tachikawa
  • Patent number: 8119325
    Abstract: It is an object of the present invention to provide a method for forming a resist pattern, in which ArF excimer laser light can be utilized as the exposure light for the patterning, the resist patterns can be thickened stably to an intended thickness independently of the sizes of the resist patterns, and the fineness of the fine space patterns can surpass the limit in terms of exposure or resolution of exposure devices. The method for forming a resist pattern of the present invention comprises at least forming a resist pattern, coating a resist pattern thickening material to cover the surface of the resist pattern, baking the resist pattern thickening material, and developing and separating the resist pattern thickening material, wherein at least one of the coating, the baking and the developing is carried out plural times.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: February 21, 2012
    Assignee: Fujitsu Limited
    Inventors: Miwa Kozawa, Koji Nozaki
  • Patent number: 8119313
    Abstract: A method for manufacturing a semiconductor device, includes: supplying a liquid resist containing a water-repellent additive to a surface of a rotating semiconductor wafer fixed to a rotary support to form a resist film to a design thickness on the surface of the semiconductor wafer; spin drying the resist film; bringing a liquid into contact with the resist film and exposing the resist film through the liquid after the spin drying; developing the resist film to form a resist pattern; and performing processing on the semiconductor wafer. A condition for adjusting contact angle between the resist film surface and the liquid is controlled so that the contact angle assumes a desired value, the condition including at least one selected from the group consisting of spin drying time for the resist film, resist temperature during the supplying, pressure of an atmosphere above the semiconductor wafer surface, and humidity of the atmosphere above the semiconductor wafer surface.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: February 21, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Katsutoshi Kobayashi, Daizo Muto, Koutarou Sho, Tsukasa Azuma
  • Patent number: 8119324
    Abstract: A pattern formation method suitable for forming micro-patterns using electron beams (EB), X-rays, or extreme ultraviolet radiation (EUV) is provided.
    Type: Grant
    Filed: July 27, 2007
    Date of Patent: February 21, 2012
    Assignee: JSR Corporation
    Inventors: Hikaru Sugita, Nobuji Matsumura, Daisuke Shimizu, Toshiyuki Kai, Tsutomu Shimokawa
  • Patent number: 8119323
    Abstract: A process for producing a film pattern, in which a layer of photosensitive resin composition is formed on a substrate and exposed selectively through a mask to light to thereby obtain a film pattern provided on its surface with protrusions and depressions without the need to remove the layer of photosensitive resin composition at unexposed regions or exposed regions by, for example, development; and a photosensitive resin composition for use in the above process for producing a film pattern.
    Type: Grant
    Filed: October 19, 2006
    Date of Patent: February 21, 2012
    Assignee: Sekisui Chemical Co., Ltd.
    Inventors: Hiroji Fukui, Kenichi Aoki, Kunihiro Ichimura, Minoru Suezaki, Toshio Enami, Hideaki Ishizawa, Takao Unate, Hiroshi Kobayashi
  • Patent number: 8119322
    Abstract: A method for forming a self-aligned pattern on an existing pattern on a substrate comprising applying a coating of a solution containing a masking material in a carrier, the masking material being either photo or thermally sensitive; performing a blanket exposure of the substrate; and allowing at least a portion of the masking material to preferential develop in a fashion that is replicates the existing pattern of the substrate. The existing pattern may be comprised of a first set of regions of the substrate having a first reflectivity and a second set of regions of the substrate having a second reflectivity different from the first composition. The first set of regions may include one or more metal elements and the second set of regions may include a dielectric. Structures made in accordance with the method.
    Type: Grant
    Filed: April 23, 2008
    Date of Patent: February 21, 2012
    Assignee: International Business Machines Corporation
    Inventors: Timothy A. Brunner, Matthew E. Colburn, Elbert Huang, Muthumanickam Sankarapandian
  • Patent number: 8114571
    Abstract: Photoacid generators generate sulfonic acids of formula (1a) or (1b) upon exposure to high-energy radiation. R1—COOCH2CF2SO3?H+??(1a) R1—O—COOCH2CF2SO3?H+??(1b) R1 is a monovalent C20-C50 hydrocarbon group of steroid structure which may contain a heteroatom. The bulky steroid structure ensures adequate control of acid diffusion. The photoacid generators are compatible with resins and suited for use in chemically amplified resist compositions.
    Type: Grant
    Filed: April 30, 2009
    Date of Patent: February 14, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masaki Ohashi, Youichi Ohsawa, Takeshi Kinsho, Takeru Watanabe
  • Patent number: 8114576
    Abstract: In accordance with the teachings of one embodiment of the present disclosure, a method of forming high-density metal interconnects on flexible, thin-film plastic includes laminating a dry photoresist layer to a substrate. The photoresist-laminated substrate is baked. An assembly is formed by laminating a plastic film to the baked, photoresist-laminated substrate. One or more electrically conductive interconnect layers are processed on a first surface of the laminated plastic film. The processing of the one or more electrically conductive interconnects includes photolithography. The assembly is baked and soaked in a liquid. The processed plastic film is then separated from the substrate.
    Type: Grant
    Filed: February 29, 2008
    Date of Patent: February 14, 2012
    Assignee: Raytheon Company
    Inventor: Francis J. Morris
  • Patent number: 8115036
    Abstract: The present invention relates to a fluorine-containing cyclic compound of formula (5): wherein R2-R4 and R9-R15 are independently a hydrogen atom, a halogen atom, or a C1-C25 straight-chain, branched or cyclic alkyl group, and may contain fluorine atom, oxygen atom, sulfur atom, or nitrogen atom. R10 and R11, R12 and R13, or R14 and R15 may be bonded together to form a ring. In such a case, it is a C1-C25 alkylene group that may contain a hetero atom such as oxygen, sulfur and nitrogen, “a” is 0 or 1, “b” is an integer of 0-2 and “c” is an integer of 0-2.
    Type: Grant
    Filed: April 22, 2010
    Date of Patent: February 14, 2012
    Assignee: Central Glass Company, Limited
    Inventors: Haruhiko Komoriya, Shinichi Sumida, Michitaka Ootani, Takeo Komata, Kazuhiko Maeda
  • Patent number: 8114573
    Abstract: A mask having features formed by self-organizing material, such as diblock copolymers, is formed on a partially fabricated integrated circuit. Initially, a copolymer template, or seed layer, is formed on the surface of the partially fabricated integrated circuit. To form the seed layer, diblock copolymers, composed of two immiscible blocks, are deposited in the space between copolymer alignment guides. The copolymers are made to self-organize, with the guides guiding the self-organization and with each block aggregating with other blocks of the same type, thereby forming the seed layer. Next, additional, supplemental diblock copolymers are deposited over the seed layer. The copolymers in the seed layer guide self-organization of the supplemental copolymers, thereby vertically extending the pattern formed by the copolymers in the seed layer.
    Type: Grant
    Filed: April 9, 2010
    Date of Patent: February 14, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Steve Kramer
  • Patent number: 8114570
    Abstract: Photoacid generators generate sulfonic acids of formula (1a) upon exposure to high-energy radiation. ROC(?O)R1—COOCH2CF2SO3?H+??(1a) RO is OH or C1-C20 organoxy, R1 is a divalent C1-C20 aliphatic group or forms a cyclic structure with RO. The photoacid generators are compatible with resins and can control acid diffusion and are thus suited for use in chemically amplified resist compositions.
    Type: Grant
    Filed: March 24, 2009
    Date of Patent: February 14, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Youichi Ohsawa, Takeshi Kinsho, Takeru Watanabe, Koji Hasegawa, Masaki Ohashi
  • Patent number: 8110322
    Abstract: The invention provides a method for forming a selective mask on a surface of a layer of AlXGaYIn1-X-YAsZP1-Z or AlXGaYIn1-X-YNZAs1-Z (0?X?1, 0?Y?1, 0?Z?1), which is a method for forming a mask with a minute width suitable for microfabrication in nano-order. (1) An energy beam 4a, 4b is selectively irradiated onto a natural oxide layer 2 formed on the surface of the layer 1 of AlXGaYIn1-X-YAsZP1-Z or AlXGaYIn1-X-YNZAs1-Z. (2) Of the natural oxide layer 2, parts other than parts onto which the energy beam 4a, 4b has been irradiated is removed by heating. (3) The natural oxide layer 2 of the parts onto which the energy beam 4a, 4b has been irradiated is partially removed by heating while alternatively carrying out a rise and fall in heating temperature.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: February 7, 2012
    Assignee: Riber
    Inventors: Naokatsu Sano, Tadaaki Kaneko
  • Patent number: 8110336
    Abstract: A resin comprising a structural unit represented by the formula (I): wherein Q1 and Q2 represent a fluorine atom etc., U represents a C1-C20 divalent hydrocarbon group in which one or more —CH2— may be replaced by —O— etc., X1 represents —O—CO— etc., and A+ represents an organic counter ion.
    Type: Grant
    Filed: September 18, 2009
    Date of Patent: February 7, 2012
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Ichiki Takemoto, Nobuo Ando
  • Patent number: 8110335
    Abstract: There is disclosed a resist patterning process with a minimum line width of 65 nanometers or less may be formed by using a resist composition containing a polymer, as a base polymer of a chemically-amplified resist composition, composed of a styrene unit whose hydroxyl group is protected by an acid labile group, and an indene unit, and/or an acenaphthalene unit, wherein the polymer has the weight-average molecular weight of 4,000 to 7,000, and in particular, 4,500 to 5,500. One of the currently existing problems to be solved is the line edge roughness. To solve this problem by an acid-generator and a basic compound, there is a problem of the trade-off relationship with a resolution power. There can be provided a resist composition having a high resolution containing a base polymer such as hydroxystyrene that is protected by an acid labile group, a resist patterning process with a pattern rule of 65 nanometers or less having a reduced line edge roughness.
    Type: Grant
    Filed: June 15, 2009
    Date of Patent: February 7, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takanobu Takeda, Satoshi Watanabe, Tamotsu Watanabe, Akinobu Tanaka, Keiichi Masunaga, Ryuji Koitabashi
  • Patent number: 8110325
    Abstract: A substrate treatment method including a first treatment process (S13 to S16) for exposing, heating, and developing a substrate on which a first resist is formed, thereby forming a first resist pattern, and a second treatment process (S17 to S20) for forming a second resist film on the substrate on which the first resist pattern is formed, exposing, heating, and developing the substrate on which the second resist film is formed, thereby forming a second resist pattern. Also, the substrate treatment method compensates a first treatment condition in a first treatment process (S22 to S25) based on a measured value of a line width of the second resist pattern and a second treatment condition in a second treatment process (S26 to S29) based on a measured value of a line width of the first resist pattern.
    Type: Grant
    Filed: February 8, 2011
    Date of Patent: February 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Takafumi Niwa, Hiroshi Nakamura, Hideharu Kyouda
  • Patent number: 8105763
    Abstract: A method is provided that enables the formation of multiple level plated products with large plating depth. A negative photoresist composition comprising (a) an alkali-soluble resin, (b) an acid generator, and (c) other components is used, and a plated product is formed by (A) a step of forming a layer of this negative photoresist composition, and then either heating or not heating, before conducting exposure; (B) a step of repeating the step (A) so that the step is performed a total of 2 or more times, thereby superimposing layers of the negative photoresist, and subsequently developing all of these layers simultaneously to form a multilayer resist pattern; and (C) a step of conducting plating treatment within this multilayer resist pattern.
    Type: Grant
    Filed: May 10, 2005
    Date of Patent: January 31, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yasuo Masuda, Yasushi Washio, Koji Saito
  • Patent number: 8105748
    Abstract: A polymerizable anion-containing sulfonium salt having formula (1) is provided wherein R1 is H, F, methyl or trifluoromethyl, R2, R3 and R4 are C1-C10 alkyl, alkenyl or oxoalkyl or C6-C18 aryl, aralkyl or aryloxoalkyl, or two of R2, R3 and R4 may bond together to form a ring with S, A is a C2-C20 hydrocarbon group having cyclic structure, and n is 0 or 1. The sulfonium salt generates a very strong sulfonic acid upon exposure to high-energy radiation. A resist composition comprising a polymer derived from the sulfonium salt is also provided.
    Type: Grant
    Filed: October 16, 2009
    Date of Patent: January 31, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masaki Ohashi, Youichi Ohsawa, Takeshi Kinsho, Jun Hatakeyama, Seiichiro Tachibana
  • Patent number: 8105764
    Abstract: A pattern is formed through positive/negative reversal by coating a chemically amplified positive resist composition comprising an acid labile group-bearing resin, a photoacid generator, and an organic solvent onto a substrate, prebaking the resist composition, exposing the resist film to high-energy radiation, post-exposure heating, and developing the exposed resist film with an alkaline developer to form a positive pattern; irradiating or heating the positive pattern to facilitate elimination of acid labile groups and crosslinking for improving alkali solubility and imparting solvent resistance; coating a reversal film-forming composition thereon to form a reversal film; and applying an alkaline wet etchant thereto for dissolving away the positive pattern.
    Type: Grant
    Filed: September 23, 2008
    Date of Patent: January 31, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takao Yoshihara, Toshinobu Ishihara
  • Patent number: 8105760
    Abstract: A pattern is formed by applying a first positive resist composition comprising a polymer comprising recurring units which become alkali soluble under the action of acid onto a substrate to form a first resist coating, heat treating, exposing, heat treating, developing to form a first resist pattern, applying a pattern surface coating composition comprising a hydroxyl-containing crosslinkable polymer onto the first resist pattern and crosslinking, thereby covering the first resist pattern with a crosslinked polymer film, applying a second positive resist composition thereon, heat treating, exposing, heat treating, and developing to form a second resist pattern.
    Type: Grant
    Filed: August 21, 2008
    Date of Patent: January 31, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takeru Watanabe, Katsuhiro Kobayashi, Kazuhiro Katayama
  • Patent number: 8101340
    Abstract: A method of inhibiting photoresist pattern collapse which includes the steps of providing a substrate; providing a photoresist layer on the substrate; exposing and developing the photoresist layer; applying a top anti-reflective coating layer to the photoresist layer; rinsing the photoresist layer; and drying the photoresist layer.
    Type: Grant
    Filed: May 9, 2007
    Date of Patent: January 24, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ching-Yu Chang, Heng-Jen Lee, Chin-Hsiang Lin, Hua-Tai Lin, Kuei Shun Chen, Bang-Chein Ho, Li-Kong Turn, Hung-Jui Kuo, Ko-Bin Kao, Tsung-Chih Chien
  • Patent number: 8101333
    Abstract: The present invention provides a method for miniaturizing a pattern without seriously increasing the production cost or impairing the production efficiency. This invention also provides a fine resist pattern and a resist substrate-treating solution used for forming the fine pattern. The pattern formation method comprises a treatment step. In the treatment step, a resist pattern after development is treated with a resist substrate-treating solution containing an amino group-containing, preferably, a tertiary polyamine-containing water-soluble polymer, so as to reduce the effective size of the resist pattern formed by the development. The present invention also relates to a resist pattern formed by that method, and further relates to a treating solution used in the method.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: January 24, 2012
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Go Noya, Ryuta Shimazaki, Masakazu Kobayashi
  • Patent number: 8101341
    Abstract: A pattern is formed by coating a chemically amplified positive resist composition comprising a resin comprising acid labile group-containing recurring units and a photoacid generator onto a substrate, drying to form a resist film, exposing the resist film to high-energy radiation, PEB, developing to form a positive pattern, illuminating or heating the positive pattern to eliminate acid labile groups for increasing alkaline solubility and to induce crosslinking for imparting solvent resistance, coating a reversal film, forming a space pattern, and shrinking the space pattern.
    Type: Grant
    Filed: January 13, 2010
    Date of Patent: January 24, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama
  • Patent number: 8097386
    Abstract: A positive-type photosensitive resin composition for electronic materials having good film adhesiveness and sensitivity without causing a corrosion reaction to copper and copper alloys in metal wirings, a method for producing patterns and electronic parts are provided. The positive-type photosensitive resin composition includes (A) a polybenzoxazole precursor having a structure represented by the following general formula (I): wherein X represents a bivalent organic group, Y represents a tetravalent organic group, R1 represents a hydrogen atom or a monovalent organic group, and m represents an integer of 2 to 500 which represents a repeating unit number of the polymer, (B) a solvent, (C) a tetrazole derivative and (D) a compound which generates an acid by light.
    Type: Grant
    Filed: August 14, 2006
    Date of Patent: January 17, 2012
    Assignee: Hitachi Chemical DuPont Microsystems, Ltd.
    Inventors: Hajime Nakano, Noriyuki Yamazaki, Yoshiko Futagawa, Yoshika Satou, Dai Kawasaki, Takumi Ueno
  • Patent number: 8088550
    Abstract: A positive resist composition, includes: (A) a compound capable of generating an acid upon irradiation with actinic rays or radiation; (B) a resin of which solubility in an alkali developer increases under an action of an acid; (C) a compound capable of decomposing under an action of an acid to generate an acid; and (D) a compound which itself acts as a base for the acids generated from the component (A) and the component (C) but decomposes upon irradiation with actinic rays or radiation to lose a basicity for the acids generated from the component (A) and the component (C).
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: January 3, 2012
    Assignee: Fujifilm Corporation
    Inventors: Shinji Tarutani, Hideaki Tsubaki, Kenji Wada
  • Patent number: 8088551
    Abstract: Some embodiments include methods of forming patterns in which a block copolymer-containing composition is formed over a substrate, and is then patterned to form a first mask. The block copolymer of the composition is subsequently induced into forming a repeating pattern within the first mask. Portions of the repeating pattern are then removed to form a second mask from the first mask. The patterning of the block copolymer-containing composition may utilize photolithography. Alternatively, the substrate may have regions which wet differently relative to one another with respect to the block copolymer-containing composition, and the patterning of the first mask may utilize such differences in wetting in forming the first mask.
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: January 3, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Scott Sills, Dan Millward
  • Patent number: 8088546
    Abstract: There is provided an underlayer coating forming composition for lithography, and an underlayer coating having a high dry etching rate compared with photoresist, and causing no intermixing with the photoresist, which are used in lithography process of manufacture of semiconductor device. Concretely, it is an underlayer coating forming composition comprising a polymer having a structural unit containing naphthalene ring substituted with halogen atom in a molar ratio of 0.3 or more in the structural units constituting the polymer, a solvent.
    Type: Grant
    Filed: June 24, 2005
    Date of Patent: January 3, 2012
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Satoshi Takei, Takahiro Sakaguchi, Tomoyuki Enomoto
  • Patent number: 8088564
    Abstract: Base soluble polymer comprising at least one sulfonyl group where at least one carbon atom at ?-position and/or ?-position and/or ?-position with respect to the sulfonyl group has a hydroxyl group, where the hydroxyl group is protected or unprotected are described.
    Type: Grant
    Filed: November 3, 2008
    Date of Patent: January 3, 2012
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: David Abdallah, Francis Houlihan
  • Patent number: 8084185
    Abstract: The present invention relates to planarization materials and methods of using the same for substrate planarization in photolithography. A planarization layer of a planarization composition is formed on a substrate. The planarization composition contains at least one aromatic monomer and at least one non-aromatic monomer. A substantially flat surface is brought into contact with the planarization layer. The planarization layer is cured by exposing to a first radiation or by baking. The substantially flat surface is then removed. A photoresist layer is formed on the planarization layer. The photoresist layer is exposed to a second radiation followed by development to form a relief image in the photoresist layer. The relief image is then transferred into the substrate.
    Type: Grant
    Filed: January 8, 2009
    Date of Patent: December 27, 2011
    Assignee: International Business Machines Corporation
    Inventors: Sean D. Burns, Colin J. Brodsky, Ryan L. Burns
  • Patent number: 8083953
    Abstract: Methods for fabricating sublithographic, nanoscale linear microchannel arrays over surfaces without defined features utilizing self-assembling block copolymers, and films and devices formed from these methods are provided. Embodiments of the methods use a multilayer induced ordering approach to align lamellar films to an underlying base film within trenches, and localized heating to anneal the lamellar-phase block copolymer film overlying the trenches and outwardly over the remaining surface.
    Type: Grant
    Filed: March 6, 2007
    Date of Patent: December 27, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Dan B. Millward, Eugene P. Marsh
  • Patent number: 8084186
    Abstract: The present invention relates to a process for forming an reverse tone image on a device comprising; a) forming an optional absorbing organic underlayer on a substrate; b) forming a coating of a photoresist over the underlayer; c) forming a photoresist pattern; d) forming a polysilazane coating over the photoresist pattern from a polysilazane coating composition, where the polysilazane coating is thicker than the photoresist pattern, and further where the polysilazane coating composition comprises a silicon/nitrogen polymer and an organic coating solvent; e) etching the polysilazane coating to remove the polysilazane coating at least up to a level of the top of the photoresist such that the photoresist pattern is revealed; and, f) dry etching to remove the photoresist and the underlayer which is beneath the photoresist, thereby forming an opening beneath where the photoresist pattern was present.
    Type: Grant
    Filed: February 10, 2009
    Date of Patent: December 27, 2011
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: David Abdallah, Ralph R. Dammel, Yusuke Takano, Jin Li, Kazunori Kurosawa
  • Patent number: 8084194
    Abstract: A method of substrate edge treatment includes forming a processing target film on a treatment target substrate, applying an energy line to a predetermined position on the processing target film to form a latent image on the processing target film, heating the treatment target substrate in which the latent image is formed on the processing target film, developing the processing target film after the heating, inspecting whether a residue is present at an edge of the treatment target substrate after the developing, and cleaning an end of the treatment target substrate to remove the residue at the edge of the treatment target substrate determined to be defective in the inspecting.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: December 27, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tomoyuki Takeishi, Yuji Kobayashi