Effecting Frontal Radiation Modification During Exposure, E.g., Screening, Masking, Stenciling, Etc. Patents (Class 430/396)
  • Publication number: 20040091821
    Abstract: A method of forming a plurality of solid conductive bumps for interconnecting two conductive layers of a circuit board with substantially coplanar upper surfaces. The method comprises the steps of applying a continuous homogenous metal layer onto a dielectric substrate, applying a first photoresist and exposing and developing said first photoresist to define a pattern of conductive bumps; etching the metal layer exposed by said development to form said plurality of conductive bumps; removing said first photoresist; applying a second photoresist onto the metal layer; exposing and developing said second photoresist to define a pattern of conductive bumps and circuit lines; etching the metal layer exposed by said development to form a pattern of circuit lines in said metal layer; and removing said second photoresist. The methods of the present invention also provides for fabricating a multilayer circuit board and a metallic border for providing rigidity to a panel.
    Type: Application
    Filed: September 17, 2003
    Publication date: May 13, 2004
    Applicant: International Business Machines Corporation
    Inventors: Bernd Karl-Heinz Appelt, James Russell Bupp, Donald Seton Farquhar, Ross William Keesler, Michael Joseph Klodowski, Andrew Michael Seman, Gary Lee Schild
  • Publication number: 20040091790
    Abstract: Corner rounding and image shortening is substantially reduced in an image printed on a substrate by illuminating a photolithographic mask and projecting light transmitted through the photolithographic mask onto the substrate using an optical projection system. The photolithographic mask has a mask pattern that includes at least one printable feature having at least one corner. Incorporated, in the mask pattern, is at least one line feature corresponding to the corner of the printable feature. The line feature is in at least close proximity to the corresponding corner of the printable feature and has a line width that is smaller than a minimum resolution of the optical projection system.
    Type: Application
    Filed: November 12, 2002
    Publication date: May 13, 2004
    Applicant: Infineon Technologies North America Corp.
    Inventors: Xiaochun Linda Chen, Lawrence Varnerin, Bernhard Liegl
  • Publication number: 20040091797
    Abstract: Disclosed is a method of manufacturing a photomask, comprising calculating a pattern area ratio, which is a ratio of the light transmitting pattern portion or the light shielding pattern portion to an area of the photomask from the design data of a given layout pattern of the photomask, and a pattern density, which is a ratio of the light transmitting pattern portion or light shielding pattern portion within the region to the area of the region extracted from the given layout pattern, estimating from the calculated pattern area ratio and the pattern density the size of a pattern formed in the case where the pattern is formed on the photomask by using the design data of the given layout pattern, and imparting the amount of correction to the design data of the given layout pattern based on the estimated pattern size.
    Type: Application
    Filed: November 3, 2003
    Publication date: May 13, 2004
    Applicants: Kabushiki Kaisha Toshiba, Dai Nippon Printing Co., Ltd.
    Inventor: Mari Inoue
  • Patent number: 6733954
    Abstract: Through holes are formed at four peripheral edges of a plurality of semiconductor chip placement regions of an insulating substrate, except for coupling portions partially arranged thereat. A substrate sheet for semiconductor module is used in which connecting portions between inner lead portions and outer lead portions arranged on both surfaces of the substrate are formed in pattern on the side wall surface of the through hole. The semiconductor chip is mounted on each region, electrode terminals thereof and the inner lead portions are electrically connected to each other, the chip is sealed, and then the coupling portions are cut.
    Type: Grant
    Filed: March 26, 2003
    Date of Patent: May 11, 2004
    Assignee: Nissha Printing Co., Ltd.
    Inventors: Kunitoshi Yamamoto, Koichiro Tsuji
  • Publication number: 20040086787
    Abstract: The present invention generally relates to optical lithography and more particularly relates to the fabrication of transparent or semitransparent phase shifting masks used in the manufacture of semiconductor devices. More particularly, the present invention implements a method for modifying anisotropically etched features on conventional alternating aperture phase shift masks (“aaPSMs”) using an isotropic plasma quartz etch process, which involves three processing stages: (1) defining the opaque region (e.g., chrome) using a chlorine-based decoupled plasma process; (2) forming an alternating anisotropic phase shift feature to a specific predetermined depth through the use of a decoupled plasma source with a fluorine etchant; and (3) changing the plasma conditions by interrupting the bias power applied across the mask and etching strictly in the inductively coupled plasma mode. These three processing stages achieve an isotropic undercutting of opaque layers which define the aaPSM.
    Type: Application
    Filed: November 5, 2002
    Publication date: May 6, 2004
    Inventors: Nabila Lehachi Waheed, William Otis Walden, Patrick Martin
  • Patent number: 6730463
    Abstract: A photoresist layer on a substrate wafer is exposed in first sections with a first exposure radiation and in second sections with a second exposure radiation that is phase-shifted by 180°. The first and second sections adjoin one another in boundary regions in which the photoresist layer is artificially not sufficiently exposed. Where a distance between these boundary regions is smaller than a photolithographically critical, least distance, the photoresist layer is exposed, at a first boundary region, with a third exposure radiation and at a second boundary region with a fourth exposure radiation phase-shifted by 180°. A trim mask provided for the process has a first translucent region and a second translucent region. The first light-transparent region and the second light-transparent region are fashioned such that the light passing through the first light-transparent region and the light passing through the second light-transparent region has a phase displacement of 180°.
    Type: Grant
    Filed: April 19, 2002
    Date of Patent: May 4, 2004
    Assignee: Infineon Technologies AG
    Inventors: Michael Heissmeier, Markus Hofsäss, Burkhard Ludwig, Molela Moukara, Christoph Nölscher
  • Patent number: 6730444
    Abstract: Needle comb reticle patterns for use in both critical dimension analysis and registration analysis with a registration tool are disclosed. One embodiment of a needle comb reticle pattern includes a box-in-box feature flanked on two adjacent sides by needle combs with tapered flat-tipped needles. Another embodiment of a needle comb reticle pattern includes a box-in-box feature flanked on two adjacent sides by needle combs with tapered flat-tipped needles and flanked on the other two adjacent sides by reference bars. Yet another embodiment of a needle comb reticle pattern includes two complementary needle comb reticle subpatterns, each subpattern including a box-in-box feature with four flanking needle combs. A registration tool can be used with the needle combs and reference bars to measure critical dimension of a semiconductor process. The registration tool can also be used with the box-in-box feature to measure registration between two adjacent layers during semiconductor fabrication.
    Type: Grant
    Filed: June 5, 2001
    Date of Patent: May 4, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Steve W. Bowes
  • Patent number: 6727976
    Abstract: An exposure apparatus comprising (a) irradiating means for illuminating a mask with laser light from an excimer laser and (b) a projection optical system for projecting a pattern of the mask onto a substrate with the laser light, wherein a characteristic of the projection optical system is measured by use of a harmonic of a predetermined laser, and wherein the laser light from the excimer laser has a wavelength corresponding to that of the harmonic of the predetermined laser.
    Type: Grant
    Filed: October 17, 2001
    Date of Patent: April 27, 2004
    Assignee: Canon Kabushiki Kaisha
    Inventor: Naoto Sano
  • Publication number: 20040076889
    Abstract: The present invention discloses appropriate layout design of a single mask and proper operation of exposing device in the process of semiconductor production for reducing diffraction effects caused by tiny pattern in exposing process, therefore effectively enhances the resolution in exposing process, and increases the yield.
    Type: Application
    Filed: October 18, 2002
    Publication date: April 22, 2004
    Inventors: Hao-Min Huang, Chen-Tung Huang
  • Publication number: 20040072083
    Abstract: A photomask for use in photolithographic processing performed to manufacture a semiconductor device. The photomask includes four square regions, each having a mask pattern. The four mask patterns are used successively and re formed so that the photomask is used oriented in substantially the same direction.
    Type: Application
    Filed: August 27, 2003
    Publication date: April 15, 2004
    Applicant: SANYO ELECTRIC CO., LTD.
    Inventors: Kouichi Saitou, Ryu Shimizu
  • Patent number: 6718874
    Abstract: A very low percentage dot screen is formed on a flexographic plate which prevents the severe compression normally found adjacent to zero percent areas of the flexographic plate. The dot screen reduces dot gain by distributing the compressive force of the flexographic printing plate on the printing surface. The total area of the dot screen is kept to a very low percentage of the total surface area being printed so that it will not alter perceived color.
    Type: Grant
    Filed: November 6, 2001
    Date of Patent: April 13, 2004
    Inventor: Lawrence W. Gross
  • Publication number: 20040067445
    Abstract: A gate wire including a plurality of gate lines and gate electrodes in the display area, and gate pads in the peripheral area is formed on a substrate having a display area and a peripheral area. A gate insulating layer, a semiconductor layer, an ohmic contact layer and a conductor layer are sequentially deposited, and the conductor layer and the ohmic contact are patterned to form a data wire including a plurality of data lines, a source electrode and a drain electrode of the display area and data pads of the peripheral area, and an ohmic contact layer pattern thereunder. A passivation layer is deposited and a positive photoresist layer is coated thereon. The photoresist layer is exposed to light through one or more masks having different transmittance between the display area and the peripheral area. The photoresist layer is developed to form a photoresist pattern having the thickness that varies depending on the position.
    Type: Application
    Filed: July 28, 2003
    Publication date: April 8, 2004
    Inventors: Woon-Yong Park, Bum-Ki Baek
  • Publication number: 20040063037
    Abstract: In order to suppress or prevent the occurrence of foreign matter in the manufacture of a semiconductor integrated circuit device by the use of a photo mask constituted in such a manner that a resist film is made to function as a light screening film, inspection or exposure treatment is carried out, when the photo mask 1PA1 has been mounted on a predetermined apparatus such as, e.g., an inspection equipment or aligner, in the state in which a mounting portion 2 of the predetermined apparatus is contacted with that region of a major surface of a mask substrate 1a of the photo mask 1PA1 in which a light shielding pattern 1b and a mask pattern 1mr, each formed of a resist film, on the major surface of the mask substrate 1a do not exist.
    Type: Application
    Filed: October 1, 2003
    Publication date: April 1, 2004
    Inventors: Norio Hasegawa, Tsuneo Terasawa, Toshihiko Tanaka
  • Patent number: 6713231
    Abstract: To alleviate the absolute value control accuracy of phases in a mask having a groove shifter structure, transfer regions 4C, 4D formed at different planar positions on the same plane of the same mask 2 are subjected to a multiple exposure by scanning exposure. Although identical mask patterns are formed over the transfer regions 4C, 4D, respective groove shifters 2d provided to these mask patterns are arranged opposite from each other.
    Type: Grant
    Filed: November 8, 2000
    Date of Patent: March 30, 2004
    Assignee: Renesas Technology Corporation
    Inventors: Norio Hasegawa, Akira Imai, Katsuya Hayano
  • Patent number: 6709796
    Abstract: Disclosed is a light sensitive photographic element suitable for image capture followed by machine reading to produce a single perspective two-dimensional color image, said element comprising a two-sided support (a) having disposed on one side of said support a red light sensitive silver halide emulsion layer unit, a green light sensitive silver halide emulsion layer unit, and a blue light sensitive silver halide emulsion layer unit, and (b) having disposed on the opposing side of said support a convergent micro-lens array located and sized to be sufficient to concentrate the image light of a single perspective of an image incident on an area of a micro-lens onto a smaller area of the emulsion layer units. Such elements provide improved latitude in image recording.
    Type: Grant
    Filed: June 12, 2002
    Date of Patent: March 23, 2004
    Assignee: Eastman Kodak Company
    Inventors: Lyn M. Irving, Richard P. Szajewski
  • Patent number: 6699639
    Abstract: Projection-exposure apparatus and methods are disclosed that exhibit increased throughput by providing improved schemes by which the reticle stage and wafer stage move to accomplish exposure. Portions of a die pattern on a “pattern original” (e.g., reticle) are sequentially illuminated by an energy beam (e.g., beam of electromagnetic radiation or charged particles). The energy beam passes through the pattern portions and forms a demagnified image on a substrate through a projection-optical system. While moving the pattern original and the substrate, the entire die pattern is sequentially illuminated according to an exposure order, and the die pattern is demagnifyingly transferred to the substrate on which the images of the illuminated pattern portions are stitched together. When transferring and exposing the die pattern to multiple locations on the substrate, the exposure order is reversed after exposing each die pattern.
    Type: Grant
    Filed: February 5, 2003
    Date of Patent: March 2, 2004
    Assignee: Nikon Corporation
    Inventor: Kazuaki Suzuki
  • Patent number: 6696227
    Abstract: The present invention provides a shift multi-exposure method for defining a regular pattern by a photomask. The method comprises the following steps. First, a photoresist layer comprising a first region and a second region is formed on a substrate. Then, a first pattern is defined on the first region by the photomask. Next, the photomask is moved a predetermined distance, and a second pattern is defined on the second region by the photomask. Finally, development is performed to display the first pattern and the second pattern on the photoresist layer.
    Type: Grant
    Filed: December 13, 2001
    Date of Patent: February 24, 2004
    Assignee: Nanya Technology Corporation
    Inventors: Chung-Wei Hsu, Ron-Fu Chu
  • Patent number: 6696223
    Abstract: A method for generating a photoresist pattern on top of an object that includes a layer of material that is opaque to light of a predetermined wavelength. The object is first covered with a layer of photoresist material. The layer of photoresist material is then irradiated with light of the predetermined wavelength from a position under the object such that the object casts a shadow into the layer of photoresist. The photoresist material is then developed to generate the photoresist pattern. The layer of photoresist material is irradiated from below the object by providing a reflecting surface below the object and a light source above the object. A mask is positioned between the object and the light source such that the mask casts a shadow that covers the object and a portion of the area surrounding the object.
    Type: Grant
    Filed: August 16, 2001
    Date of Patent: February 24, 2004
    Assignee: Agilent Technologies, Inc.
    Inventors: Tetsuya Hidaka, Yawara Kaneko
  • Patent number: 6692902
    Abstract: A manufacturing method and structure of slanting diffusive reflectors simplifies their manufacturing process and reduces cost. A photo mask comprising a plurality of half-tone exposure units is used. A half-tone photolithography process is performed on the positive photoresist formed on a substrate. Only one exposure process and a suitable drying step are required to form a plurality of slants and rough astigmatisms on the slants. The size of the half-tone exposure units is randomly selected. Each half-tone exposure unit comprises a plurality of parallel transmitting strips or shadow strips. The pitch of the transmitting strips or the shadow strips in one half-tone exposure unit can be arbitrary. The width of the shadow strips is gradually changing from one side of the half-tone exposure unit to the other side.
    Type: Grant
    Filed: November 16, 2001
    Date of Patent: February 17, 2004
    Assignee: Industrial Technology Research Institute
    Inventors: Yi-Chun Wong, Ming-Dar Wei, Shang-Wen Chang
  • Patent number: 6692900
    Abstract: The invention includes a method of patterning radiation. The radiation is simultaneously passed through a structure and through a sub resolution assist feature that is transmissive of at least a portion of the radiation. The sub resolution assist feature alters a pattern of radiation intensity defined by the structure relative to a pattern of radiation intensity that would be defined in the absence of the sub resolution assist feature. The invention further includes methods of forming radiation-patterning tools, and the radiation-patterning tools themselves.
    Type: Grant
    Filed: May 19, 2003
    Date of Patent: February 17, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Bill Baggenstoss
  • Patent number: 6686102
    Abstract: A method of double-exposure photolithography of a semiconductor wafer in the manufacture of integrated circuits is disclosed. The two exposures of the same positive photoresist layer are carried out using a binary photomask (25) having chrome regions (22) that define non-critical dimension features (6c) and also serve as protection for phase shift exposure of critical dimension features (6g). The phase shift photomask (23) includes apertures 200, 20&pgr; that expose the sides of the critical dimension feature (6g) with opposite phase light. The phase shift photomask (23) also includes an additional aperture (30) for double exposure of a region exposed by the binary photomask, for example as between a non-critical dimension feature (6c) and the end of a critical dimension feature (6g).
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: February 3, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: John N. Randall, Gene E. Fuller
  • Patent number: 6686101
    Abstract: A reticle or mask for use in projecting a circuit pattern on a photosensitive resist covered wafer having a transparent substrate with a reflective or dielectric layer thereon. An opaque or blocking layer, for example chrome or chromium, is placed over the reflective layer. The opaque layer then has a predetermined circuit pattern etched therein. In one embodiment, the opaque layer and the reflective layer are the same size. In another embodiment, the opaque layer has a size larger than the reflective layer. This permits the opaque layer to be adjacent the substrate which is advantageous when projection optics having a high numerical aperture are used. The reticle of the present invention has particular advantage when high throughput photolithographic tools are used having an illumination source of high energy flux with a wavelength of between 157 nanometers and 365 nanometers. Illumination in this wavelength range has considerable absorption in chrome, a common opaque material used in reticles.
    Type: Grant
    Filed: July 17, 2002
    Date of Patent: February 3, 2004
    Assignee: ASML Holding N.V.
    Inventor: Andrew W. McCullough
  • Patent number: 6682879
    Abstract: A pattern for camouflage and a method for making the pattern. Rely on photographic images arranged in a synthetic perspective relationship, appearing to extend toward the horizon. The photographic images are images of landscape features in a selected environment. The pattern blends in with landscape features both in proximity to and distant from the camouflage pattern. In one embodiment, the pattern is adapted to be seamlessly repeatable across a surface. In one or two dimensions the method for making the camouflage includes taking photographs and selecting photographic images that represent the landscape features in the selected environment. The method also includes separating those images and arranging them into a repeating pattern. Photographic images are arranged in synthetic perspective which includes obscuring background images with foreground images in a manner that simulates the perspective in the selected environment.
    Type: Grant
    Filed: January 4, 2002
    Date of Patent: January 27, 2004
    Inventor: Nathan T. Conk
  • Patent number: 6682861
    Abstract: A method for creating a phase shift photomask which includes a layer of hard mask material, the inclusion of which improves the uniformity of critical dimensions on the photomask by minimizing the affect of macro and micro loading. The method for producing the phase shift photomask of the instant invention includes two etching processes. The first etching process etches the layer of hard mask, and the second etching process etches opaque material (and anti-reflective layer, if used) and phase shift layers.
    Type: Grant
    Filed: February 19, 2003
    Date of Patent: January 27, 2004
    Assignee: Photronics, Inc.
    Inventor: David Y. Chan
  • Publication number: 20040014200
    Abstract: The invention provides chemiluminescent assays that incorporate a film including at least one chemiluminescent precursor immobilized therewith which produces a triggerable chemiluminescent compound, the film being free of compounds which generate singlet oxygen and being adapted for use with a sensitizer-labeled agent or agent probative of the analyte.
    Type: Application
    Filed: July 16, 2002
    Publication date: January 22, 2004
    Applicant: emp Biotech GmbH.
    Inventors: Derek W.K. Levison, Uwe Moller, Stuart Levison
  • Patent number: 6677108
    Abstract: A light exposure method in which, when a resist layer is selectively exposed to one of X-rays containing soft X-rays, vacuum ultraviolet light rays and ultraviolet rays containing extreme ultraviolet light rays for patterning the resist layer to a pre-set shape, a high molecular material having pre-set oxygen content ratio (no) and density (&rgr;) is applied to form a resist layer having a film thickness not less than 250 nm. Since the high molecular material having the pre-set oxygen content ratio (no) and density (&rgr;) is used, a resist pattern of a better shape may be obtained even if the resist layer is of an increased thickness of not less than 250 nm. Since the film thickness of the resist layer is not less than 250 nm, it is possible to construct a lithographic process superior in etching resistance to realize ultra-fine machining than was heretofore possible.
    Type: Grant
    Filed: July 17, 2001
    Date of Patent: January 13, 2004
    Assignees: Sony Corporation, Matsushita Electric Industrial Co., Ltd.
    Inventors: Nobuyuki Matsuzawa, Shigeo Irie
  • Patent number: 6677107
    Abstract: In order to suppress or prevent the occurrence of foreign matter in the manufacture of a semiconductor integrated circuit device by the use of a photo mask constituted in such a manner that a resist film is made to function as a light screening film, inspection or exposure treatment is carried out, when the photo mask 1PA1 has been mounted on a predetermined apparatus such as, e.g., an inspection equipment or aligner, in the state in which a mounting portion 2 of the predetermined apparatus is contacted with that region of a major surface of a mask substrate 1a of the photo mask 1PA1 in which a light shielding pattern 1b and a mask pattern 1mr, each formed of a resist film, on the major surface of the mask substrate 1a do not exist.
    Type: Grant
    Filed: September 13, 2000
    Date of Patent: January 13, 2004
    Assignee: Hitacji, Ltd.
    Inventors: Norio Hasegawa, Tsuneo Terasawa, Toshihiko Tanaka
  • Patent number: 6677088
    Abstract: A photomask producing method according to the present invention segments a parent pattern which is an &agr;-magnification of an original pattern which is a &bgr;-magnification of a circuit pattern into &agr; lengthwise and breadthwise, thereby forming parent patterns on data. The parent patterns are written on a substrate at equal magnification by using an electron beam lithography system, thereby producing master reticles. Reduced images of the parent patterns of the master reticles are transferred on a substrate while performing screen linking, thereby producing working reticle. This photomask producing method can form an original pattern with a high precision and in a short period of time.
    Type: Grant
    Filed: July 16, 2002
    Date of Patent: January 13, 2004
    Assignee: Nikon Corporation
    Inventors: Nobutaka Magome, Naomasa Shiraishi
  • Patent number: 6673526
    Abstract: A pattern formation method and method and apparatus for production of a semiconductor device using that method which irradiate light from a light source to a phase shifting mask through a fly's-eye lens comprised of an assembly of a plurality of lenses, transfer the pattern of the phase shifting mask onto the substrate, and form the pattern on the substrate, wherein the amount of light made incident upon the center portion of the fly's-eye lens is lowered by 2 to 90 percent, preferably 10 to 90 percent, further preferably 20 to 80 percent or 20 to 60 percent, relative to the amount of light incident upon the peripheral portion of the fly's-eye lens.
    Type: Grant
    Filed: August 23, 1995
    Date of Patent: January 6, 2004
    Assignee: Sony Corporation
    Inventors: Tohru Ogawa, Masaya Uematsu
  • Patent number: 6670109
    Abstract: The invention includes a photolithographic method in which overlapping first and second exposure patterns are formed on a photosensitive material from light passed through a single reticle. The first exposure pattern of the radiation comprises features separated by about a minimum feature spacing that can be accomplished with a single reticle exposure at the time of the photolithographic processing, and the overlapping first and second patterns comprise features separated by less than the minimum feature spacing. The invention also includes a photolithographic method of forming overlapping exposure patterns on a photosensitive material from light passed through a single reticle wherein the reticle is moved between a first exposure to a first light and a second exposure to a second light.
    Type: Grant
    Filed: August 29, 2001
    Date of Patent: December 30, 2003
    Assignee: Micron Technology, Inc.
    Inventors: John F. Van Itallie, Erik Byers
  • Patent number: 6667136
    Abstract: A method and structure for a photomask that includes a substrate having a first transmittance, a first pattern to be transferred to a photosensitive layer (the first pattern having a second transmittance lower than the first transmittance) and a second pattern having a third transmittance greater than the second transmittance and less than the first transmittance. The second pattern is adjacent at least a portion of the first pattern, and the substrate and the second pattern transmit light substantially in phase.
    Type: Grant
    Filed: July 22, 2002
    Date of Patent: December 23, 2003
    Assignee: International Business Machines Corporation
    Inventors: Orest Bula, Daniel C. Cole, Edward W. Conrad, William C. Leipold
  • Publication number: 20030232260
    Abstract: Disclosed is a light sensitive photographic element suitable for image capture followed by machine reading to produce a single perspective two-dimensional color image, said element comprising a two-sided support
    Type: Application
    Filed: June 12, 2002
    Publication date: December 18, 2003
    Applicant: Eastman Kodak Company
    Inventors: Lyn M. Irving, Richard P. Szajewski
  • Patent number: 6660462
    Abstract: Exposure is performed by using a phase shifting mask having a constant shifter line width L in the direction of transistor gate length Lg, thereby forming a designed transistor gate pattern in a part of a shifter edge of the phase shifting mask and forming a dummy gate pattern which has the same device structure as that of the transistor gate but does not have a circuit function in the rest of the shifter edge so as to be isolated from the transistor gate pattern. By the operation, the minimum distance between each of all of the transistor gate patterns in an active region and each of the dummy gate patters is set to be substantially constant.
    Type: Grant
    Filed: April 5, 2000
    Date of Patent: December 9, 2003
    Assignee: Hitachi, Ltd.
    Inventor: Hiroshi Fukuda
  • Patent number: 6660458
    Abstract: A method of optical proximity correction, suitably applied to a photolithography process with a high numeric aperture. The exposure light comprises a P-polarized light and an S-polarized light perpendicular to the P-polarized light. The P-polarized light has a transmission coefficient larger than that of the S-polarized light. In this method, different optical proximity correction modes are applied to the patterns with different orientations. While correcting any pattern, the ratio of transmission coefficient of the P-polarized light to the S-polarized light and the polarization angle between the pattern orientation and the polarization direction of the P-polarization/S-polarization light are considered.
    Type: Grant
    Filed: June 28, 2001
    Date of Patent: December 9, 2003
    Assignee: Macronix International Co., Ltd.
    Inventor: Shun-Li Lin
  • Patent number: 6656664
    Abstract: The method of forming a minute focusing lens with respect to over a photoactive area of an image sensor such as a CCD or CMOS, comprising: coating a resist film on a flattening layer formed over the photoactive area of the image sensor; exposing the resist film to light via a photo-mask, and developing the resist film; and patterning the resist film into a lens configuration provides in this invention in order to form a lens having a designed configuration that provides a good light focusing efficiency. The photo-mask is a light transmission type having no light-shading layer. And, this photo-mask is the one having provided thereon a light transmission portion comprising a light refraction material, having on its surface portion a stairs portion, the stairs portion having the phase of a transmission light at its respective position controlled relative to a prescribed width so that a desired light intensity distribution may be obtained at the surface of the photo-mask light-exposed portion.
    Type: Grant
    Filed: September 26, 2002
    Date of Patent: December 2, 2003
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Yoichi Takahashi, Nobuhito Toyama, Hiroyuki Matsui
  • Patent number: 6656668
    Abstract: A process method of using excimer laser for forming micro spherical and non-spherical polymeric structure array includes a photomask which has a selected curved pattern formed thereon. The curved pattern has non-constant widths along a straight line direction. An excimer laser beam source is deployed to project through the photomask on a substrate coated with a polymeric material while the substrate is moving in a direction normal to the straight line direction for the polymeric material to receive laser beam projection with different time period. The polymeric material thus may be etched to different depth to form a three dimensional pattern desired. By projecting and etching the polymeric material two times at different directions or through different photomask patterns, a sphere like or non-sphere like surface of micro array structure may be obtained.
    Type: Grant
    Filed: August 23, 2001
    Date of Patent: December 2, 2003
    Assignee: Industrial Technology Research Institute
    Inventors: Hung-Yin Tsai, Cheng-Tang Pan, Min-Chieh Chou, Shih-Chou Chen, Yuh-Sheng Lin
  • Patent number: 6653053
    Abstract: A desirable pattern is formed in a photoresist layer that overlies a semiconductor wafer using an attenuating phase shift reflective mask. This mask is formed by consecutively depositing an attenuating phase shift layer, a buffer layer and a repairable layer. The repairable layer is patterned according to the desirable pattern. The repairable layer is inspected to find areas in which the desirable pattern is not achieved. The repairable layer is then repaired to achieve the desirable pattern with the buffer layer protecting the attenuating phase shift layer. The desirable pattern is transferred to the buffer layer and then transferred to the attenuating phase shift layer to achieve the attenuating phase shift reflective mask. Radiation is reflected off the attenuating phase shift reflective mask to the photoresist layer to expose it with the desirable pattern.
    Type: Grant
    Filed: August 27, 2001
    Date of Patent: November 25, 2003
    Assignee: Motorola, Inc.
    Inventors: Pawitter Mangat, Sang-In Han
  • Patent number: 6653030
    Abstract: A method and system for fabricating micron and sub-micron-sized features within a polymer layer of a nascent semiconductor device or other micro-device or nano-device. Small features are directly imprinted with an optical-mechanical stamp having corresponding intrusions. Large features are created by exposing the surface of selected areas of the polymer surface to UV radiation by transmitting UV radiation through the optical-mechanical stamp to chemically alter the polymer, allowing either UV-exposed or UV-shielded areas to be removed by solvents. Thus, described embodiments of the present invention provide for a partially transparent imprinting mask that employs purely mechanical stamping for fine features and lithography-like chemical polymer removal for large features.
    Type: Grant
    Filed: January 23, 2002
    Date of Patent: November 25, 2003
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Ping Mei, Carl P. Taussig, Albert H Jeans
  • Patent number: 6653644
    Abstract: In a pattern exposure method and apparatus for projecting a circuit pattern on a circuit member by an electron beam passing through a pattern exposure mask having a number of exposure regions separated from one another by a boundary region, the pattern exposure mask additionally includes a beam restraining area for restraining the electron beam scattered by the boundary region, so that the strength of the total background exposure is equalized.
    Type: Grant
    Filed: June 23, 2000
    Date of Patent: November 25, 2003
    Assignee: NEC Electronics Corporation
    Inventor: Ken Nakajima
  • Patent number: 6649312
    Abstract: Disclosed is light sensitive element comprising a support, at least one light sensitive silver halide emulsion layer, and a micro-bead layer comprising micro-beads a wherein the quantity of binder in the micro-bead layer is such that the micro-beads are not fully enclosed by the binder, thereby enabling the beads to function as microlenses. The invention also provides a camera combination and imaging method. Embodiments of the invention provide improved sensitivity and latitude in scene exposure range.
    Type: Grant
    Filed: June 12, 2002
    Date of Patent: November 18, 2003
    Assignee: Eastman Kodak Company
    Inventors: Krishnan Chari, Richard P. Szajewski, Lyn M. Irving
  • Patent number: 6645707
    Abstract: A device manufacturing method includes a first exposure step for executing a multiple exposure of a first layer of a substrate by use of plural first masks, a development step for developing the first layer of the substrate and a second exposure step, executed after the development step, for executing a multiple exposure of a second layer of the substrate by use of plural second masks. A portion of at least one of the first masks has a pattern the same as a pattern formed in a portion of at least one of the second masks.
    Type: Grant
    Filed: March 24, 2000
    Date of Patent: November 11, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventors: Mitsuaki Amemiya, Shunichi Uzawa, Keiko Chiba, Yutaka Watanabe
  • Patent number: 6641981
    Abstract: In projecting a pattern formed on a mask onto a substrate by using a progressive focus exposure method and transferring/forming an image of the pattern on a substrate, a control unit changes the distribution of energy amounts supplied onto the substrate based on the relative positions of the imaging plane and the substrate surface, and the integrated energy amount supplied onto the substrate in accordance with the position of an irradiation area on the substrate surface which is irradiated with an energy beam through the projection optical system. With this operation, in accordance with information about the distribution of variations in resist layer thickness on the substrate, which is obtained in advance, at least one of the distribution of energy amounts and the integrated energy, which reduce the influences of this distribution, can be changed.
    Type: Grant
    Filed: November 20, 2000
    Date of Patent: November 4, 2003
    Assignee: Nikon Corporation
    Inventors: Kenichirou Kaneko, Toshinobu Morioka, Seiji Fujitsuka
  • Patent number: 6641982
    Abstract: A method including forming a photoimageable material on a substrate; developing the photoimageable material over an opening area, the photoimageable material over a first portion of the opening area developed to a first extent and the photoimageable material over a second portion of the opening area developed to a different second extent; removing developed photoimageable material from the opening area; and forming an opening in the substrate in the opening area.
    Type: Grant
    Filed: June 7, 2001
    Date of Patent: November 4, 2003
    Assignee: Intel Corporation
    Inventor: Ajay Jain
  • Patent number: 6638688
    Abstract: Within both a method for forming a patterned photoresist layer and a method for forming an electroplated patterned conductor layer while employing the patterned photoresist layer as a patterned photoresist plating mask layer there is first provided a substrate. There is then formed over the substrate a blanket photoresist layer formed of a negative photoresist material. There is then photoexposed the blanket photoresist layer to form a photoexposed blanket photoresist layer while employing a photoexposure apparatus which employs an annular edge ring exclusion apparatus positioned over an annular edge ring of the blanket photoresist layer and the substrate. Finally, there is then developed the photoexposed blanket photoresist layer to form a patterned photoresist layer having an annular edge ring excluded over the annular edge ring of the substrate.
    Type: Grant
    Filed: November 30, 2000
    Date of Patent: October 28, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd.
    Inventors: Kai-Ming Ching, Sheng-Liang Pan, Hao-Wei Chang, Chun-Hong Chang, Yen-Ming Chen
  • Patent number: 6638663
    Abstract: Disclosed is a phase-shifting mask having a pattern comprising a plurality of substantially transparent regions and a plurality of substantially opaque regions wherein the mask pattern phase-shifts at least a portion of incident radiation and wherein the phases are substantially equally spaced, thereby increasing resolution of a given lithographic system. Further disclosed is a semiconductor device fabricated utilizing the phase-shifting mask.
    Type: Grant
    Filed: January 20, 2000
    Date of Patent: October 28, 2003
    Assignee: Agere Systems Inc.
    Inventor: Feng Jin
  • Patent number: 6635412
    Abstract: A method utilizing gray-tone exposure of a class of thick negative photo-sensitized epoxy resists from the substrate side of a transparent substrate and development methods that rely upon a physical distinction between polymerized (solid) and unpolymerized (liquid) photoresist at elevated temperatures may be used to fabricate 3-D structures in the photo-sensitized epoxy. Such structures may exhibit smoothly-varying topographic features with thicknesses as great as 2 mm.
    Type: Grant
    Filed: July 10, 2001
    Date of Patent: October 21, 2003
    Inventor: Martin A. Afromowitz
  • Patent number: 6635388
    Abstract: The invention relates to a phase shift mask for lithographically producing small structures at the limit of a resolution that is predetermined by the wavelength of the exposure radiation. The phase shift mask has first regions A and second regions B that effect a phase-shift relative to the first regions. The second regions are arranged beside the first regions for producing a sudden phase shift along the boundaries between the first and the second regions. Individual first regions touch one another via corners at points, at which the second regions also touch one another via corners. The result is that the boundaries between first and second regions merge at these points and these points are opaque to the radiation. The invention makes it possible to expose extremely small contact holes with just a single exposure and thus leads to a reduction of costs in the fabrication of integrated semiconductor circuits.
    Type: Grant
    Filed: October 29, 1999
    Date of Patent: October 21, 2003
    Assignee: Infineon Technologies AG
    Inventors: Christoph Friedrich, Uwe Griesinger, Rainer Pforr, Dietrich Widmann, Andreas Grassmann
  • Patent number: 6635405
    Abstract: Disclosed is a print quality test structure for devices manufactured by lithography. The test structure allows for visual inspection of the print quality of the device. The test structure decouples the effects of overexposure, underexposure and focus so that corrections can be made for future device manufacturing. By visually inspecting each device during lithography, devices of poor quality can be reworked, and costly testing on all devices can be avoided through device screening.
    Type: Grant
    Filed: September 22, 2000
    Date of Patent: October 21, 2003
    Assignee: Bookham Technology, PLC
    Inventors: David Seniuk, Marcel Boudreau, Maxime Poirier
  • Patent number: 6632574
    Abstract: A mask is composed of a substrate, and a pattern having a transmission factor formed on the substrate by using a material, wherein an optical path length difference between light beams respectively passing the pattern and an area adjacent thereto is greater than ( m - 1 8 ) ⁢ λ and less than ( m + 1 8 ) ⁢ λ , where &lgr; is a wavelength of incident light, and m is an integer.
    Type: Grant
    Filed: July 1, 1999
    Date of Patent: October 14, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventor: Mitsuro Sugita
  • Patent number: 6632592
    Abstract: A resist pattern forming method of forming a pattern on a resist film formed on a wafer by using a projection exposure apparatus generates a resized pattern of an active area and its inverted pattern, then generates a logical product pattern of a gate pattern to be exposed and the resized pattern, generates a first mask having a logical sum pattern of the inverted pattern and the logical product pattern as a light shielding film, generates a second mask having a logical sum pattern of the resized pattern and the gate pattern as a light shielding film, exposes the resist film on the wafer using the first mask under a condition that an numerical aperture of the projection exposure apparatus is small, and then exposes the resist film on the wafer using the second mask under a condition that the numerical aperture of the projection exposure apparatus is large.
    Type: Grant
    Filed: September 7, 2000
    Date of Patent: October 14, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Shoji Mimotogi