Matting Or Other Surface Reflectivity Altering Material Patents (Class 430/950)
  • Patent number: 8828642
    Abstract: Disclosed is a positive photosensitive resin composition which is characterized by containing (a) a polysiloxane that is synthesized by hydrolyzing and partially condensing a specific organosilane and an organosilane oligomer, (b) aluminum compound particles, tin compound particles, titanium compound particles, zirconium compound particles, composite particles of the aforementioned compounds or composite particles of any of the aforementioned compounds and a silicon compound, (c) a naphthoquinonediazide compound and (d) a solvent. The positive photosensitive resin composition is also characterized in that the organosilane oligomer contains a specific organosilane. The positive photosensitive resin composition has achieved excellent sensitivity and resolution without deteriorating high refractive index and high transparency.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: September 9, 2014
    Assignee: Toray Industries, Inc.
    Inventors: Masao Kamogawa, Toru Okazawa, Mitsuhito Suwa
  • Patent number: 8507191
    Abstract: Methods of forming a patterned, silicon-enriched developable antireflective material. One such method comprises forming a silicon-enriched developable antireflective composition. The silicon-enriched developable antireflective composition comprises a silicon-enriched polymer and a crosslinking agent. The silicon-enriched polymer and the crosslinking agent are reacted to form a silicon-enriched developable antireflective material that is insoluble and has at least one acid-sensitive moiety. A positive-tone photosensitive material, such as a positive-tone photoresist, is formed over the silicon-enriched developable antireflective material and regions thereof are exposed to radiation. The exposed regions of the positive-tone photosensitive material and underlying regions of the silicon-enriched developable antireflective material are removed. Additional methods are disclosed, as are semiconductor device structures including a silicon-enriched developable antireflective material.
    Type: Grant
    Filed: January 7, 2011
    Date of Patent: August 13, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Dan B. Millward, Yuan He, Lijing Gou, Zishu Zhang, Anton J. deVilliers, Jianming Zhou, Kaveri Jain, Scott Light, Michael Hyatt
  • Patent number: 8415088
    Abstract: A method for forming a material layer with an anti-reflective layer as the top surface. The method comprises steps of providing a material layer and performing an ion implantation process to change a plurality of physical properties of a portion of the material layer near a top surface of the material layer so as to covert the portion of the material layer into an anti-reflective layer.
    Type: Grant
    Filed: March 15, 2006
    Date of Patent: April 9, 2013
    Assignee: MACRONIX International Co., Ltd.
    Inventor: Yu-Lin Yen
  • Patent number: 8361694
    Abstract: It is a problem to provide a resist underlayer film forming composition containing a fullerene derivative, which is easily applied on a substrate and from which a resist underlayer film excellent in dry etching properties can be obtained. The problem is solved by for example a resist underlayer film forming composition comprising: a fullerene derivative represented by Formula (3): (where, R4 represents one group selected from a group consisting of a hydrogen atom, an alkyl group which optionally has a substituent, an aryl group which optionally has a substituent and a heterocyclic group which optionally has a substituent; and R5 represents an alkyl group which optionally has a substituent or an aryl group which optionally has a substituent); and an organic solvent.
    Type: Grant
    Filed: April 4, 2008
    Date of Patent: January 29, 2013
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Takahiro Sakaguchi, Tetsuya Shinjo
  • Patent number: 8298452
    Abstract: A negative type resist composition for a color filter including (A) a pigment dispersant, (B) a pigment, (C) an alkaline-soluble resin, (D) a polyfunctional monomer, (E) a photo initiator and (F) a solvent.
    Type: Grant
    Filed: August 20, 2008
    Date of Patent: October 30, 2012
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Michihiro Ogura, Yoshihito Maeno, Hiroaki Segawa
  • Patent number: 8206893
    Abstract: Novel, developer-soluble anti-reflective coating compositions and methods of using those compositions are provided. The compositions comprise a multi-functional acid reacted with a multi-functional vinyl ether to form a branched polymer or oligomer. In use, the compositions are applied to a substrate and thermally crosslinked. Upon exposure to light and post-exposure baking, the cured polymers/oligomers will decrosslink and depolymerize, rendering the layer soluble in typical photoresist developing solutions (e.g., alkaline developers).
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: June 26, 2012
    Assignee: Brewer Science Inc.
    Inventors: Hao Xu, Ramil-Marcelo L. Mercado, Douglas J. Guerrero, Jim D. Meador
  • Patent number: 8202678
    Abstract: The present invention discloses an antireflective coating composition for applying between a substrate surface and a positive photoresist composition. The antireflective coating composition is developable in an aqueous alkaline developer. The antireflective coating composition comprises a polymer, which comprises at least one monomer unit containing one or more moieties selected from the group consisting of a lactone, maleimide, and an N-alkyl maleimide; and at least one monomer unit containing one or more absorbing moieties. The polymer does not comprise an acid labile group. The present invention also discloses a method of forming and transferring a relief image by using the inventive antireflective coating composition in photolithography.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: June 19, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung J. Chen, Mahmoud Khojasteh, Ranee Wai-Ling Kwong, Margaret C. Lawson, Wenjie Li, Kaushal S. Patel, Pushkara R. Varanasi
  • Patent number: 8153353
    Abstract: A method and a material for creating an antireflective coating on an integrated circuit. A preferred embodiment comprises applying a dark polymer material on a reflective surface, curing the dark polymer material, and roughening a top surface of the dark polymer material. The roughening can be achieved by ashing the dark polymer material in an ash chamber. The dark polymer material, preferably a black matrix resin or a polyimide black matrix resin, when ashed in an oxygen rich atmosphere for a short period of time, forms a surface that is capable of absorbing light as well as randomly refracting light it does not absorb. A protective cap layer may be formed on top of the ashed dark polymer material to provide protection for the dark polymer material.
    Type: Grant
    Filed: October 8, 2009
    Date of Patent: April 10, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Jason Michael Neidrich
  • Patent number: 8021821
    Abstract: A photosensitive conductive paste for transferring including a metal powder, an inorganic material powder, a photosensitive resin and a polymerization initiator, and to be applied onto a surface of a transfer support, comprising an acrylic resin or a rosin-based resin.
    Type: Grant
    Filed: January 26, 2009
    Date of Patent: September 20, 2011
    Assignee: Noritake Co., Limited
    Inventors: Atsushi Nagai, Kazutaka Nakayama
  • Patent number: 7947424
    Abstract: There is provided a composition for forming anti-reflective coating containing a urea compound substituted by hydroxyalkyl group or alkoxyalkyl group, and preferably a light absorbing compound and/or a light absorbing resin; a method of forming a anti-reflective coating for a semiconductor device by use of the composition; and a process for manufacturing a semiconductor device by use of the composition. The composition according to the present invention exhibits a good light-absorption to a light having a wavelength used for manufacturing a semiconductor device. Therefore, the composition exerts a high protection effect against light reflection, and has a high dry etching rate compared with photoresist layers.
    Type: Grant
    Filed: July 11, 2003
    Date of Patent: May 24, 2011
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Takahiro Kishioka, Shinya Arase, Ken-ichi Mizusawa, Keisuke Nakayama
  • Patent number: 7939244
    Abstract: New hardmask compositions comprising non-polymeric, metal-containing nanoparticles dispersed or dissolved in a solvent system and methods of using those compositions as hardmask layers in microelectronic structures are provided. The compositions are photosensitive and capable of being rendered developer soluble upon exposure to radiation. The inventive hardmask layer is patterned simultaneously with the photoresist layer and provides plasma etch resistance for subsequent pattern transfer.
    Type: Grant
    Filed: April 21, 2009
    Date of Patent: May 10, 2011
    Assignee: Brewer Science Inc.
    Inventors: Hao Xu, Ramil-Marcelo L. Mercado, Douglas J. Guerrero
  • Patent number: 7887992
    Abstract: Disclosed is a photosensitive paste comprising a polymerizable monomer and a photopolymerization initiator, wherein the glass transition temperature of the polymerizable monomer is ?10° C. or less. The present paste may be used for creating a fine pattern and prevents residue from remaining in the area where paste should be removed.
    Type: Grant
    Filed: December 23, 2008
    Date of Patent: February 15, 2011
    Assignee: E. I. du Pont de Nemours and Company
    Inventors: Kazushige Ito, Hiroaki Noda
  • Patent number: 7820359
    Abstract: A coating composition for forming a heat-sensitive transfer image-receiving sheet provided with at least one receptor layer on a support, wherein the composition comprises a latex polymer containing a repeating unit derived from a monomer represented by formula (1); and a heat-sensitive transfer image-receiving sheet prepared by using the coating composition: wherein, R1 represents a hydrogen atom, a halogen atom or a methyl group; L1 represents a divalent linking group; R2 represents an alkylene group having 1 to 5 carbon atoms which may be further substituted; n represents an integer of 1 to 40; Z1 represents a hydrogen atom, an alkyl group having 1 to 30 carbon atoms, a cycloalkyl group, or an aryl group; and when n is 2 or more, R2s may be the same or different.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: October 26, 2010
    Assignee: FUJIFILM Corporation
    Inventors: Toshihide Yoshitani, Takuya Arai
  • Patent number: 7816071
    Abstract: A process for imaging a photoresist comprising the steps of, a) forming a stack of multiple layers of organic antireflective coatings on a substrate; b) forming a coating of a photoresist over the upper layer of the stack of multiple layers of organic antireflective coatings; c) imagewise exposing the photoresist with an exposure equipment; and, d) developing the coating with a developer.
    Type: Grant
    Filed: January 24, 2006
    Date of Patent: October 19, 2010
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: David J. Abdallah, Mark O. Neisser, Ralph R. Dammel, Georg Pawlowski, John Biafore, Andrew R. Romano
  • Patent number: 7807336
    Abstract: Disclosed herein is a method for manufacturing a semiconductor device that includes performing an O2 plasma treatment step after forming a Si-containing anti-reflection film.
    Type: Grant
    Filed: August 29, 2006
    Date of Patent: October 5, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung Koo Lee, Jae Chang Jung
  • Patent number: 7807330
    Abstract: A heat-sensitive transfer image-receiving sheet provided with at least one receptor layer on a support, wherein the receptor layer has a polymer containing a repeating unit derived from a monomer represented by formula (1); and a coating composition for forming the heat-sensitive transfer image-receiving sheet: wherein, R1 represents a hydrogen atom, a halogen atom or a methyl group; L1 represents a divalent linking group; R2 represents an alkylene group having 1 to 5 carbon atoms which may be further substituted; n represents an integer of 1 to 40; Z1 represents a hydrogen atom or a linear, branched or cyclic aliphatic hydrocarbon group having 1 to 30 carbon atoms which may be further substituted; and when n is 2 or more, R2s may be the same or different.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: October 5, 2010
    Assignee: FUJIFILM Corporation
    Inventors: Toshihide Yoshitani, Takuya Arai
  • Patent number: 7749682
    Abstract: An alkali-developable black photosensitive resin composition for forming a light-shielding barrier wall which comprises, as indispensable components, (A) a carboxyl group-containing photosensitive prepolymer, (B) a photopolymerization initiator, (C) a black pigment, and (D) light-transmitting fine particles. By the use of this composition, light-shielding barrier walls (11) having sufficient light-shielding properties and a height of not less than 20 ?m can be formed by photolithography, without causing undercutting during development. In a preferred embodiment, the black pigment (C) is a metal oxide, preferably iron oxide, and the fine particles (D) are inorganic fine particles having a refractive index of 1.40-1.90, preferably silica.
    Type: Grant
    Filed: November 28, 2008
    Date of Patent: July 6, 2010
    Assignees: Nippon Sheet Glass Co., Ltd., Taiyo Ink Mfg. Co., Ltd.
    Inventors: Hidekazu Miyabe, Gen Itokawa, Atsushi Mashiko, Hiroyuki Nemoto
  • Patent number: 7741006
    Abstract: A method for fabricating a disc stamper is provided. First, a substrate is provided. Next, a layer of a coatable inorganic material is coated on the substrate, wherein the coatable inorganic material is an oxide, in which the chemical element constitution is more than one element selected from the group consisting of Te, Al, Zr, and Ti. Next, a laser beam is utilized to perform direct write on the layer of the coatable inorganic material to form a relief pattern. Thereafter, a metal layer is electroplated on the relief pattern. Next, the metal layer is separated from the relief pattern. The layer of the coatable inorganic material is utilized to form the relief pattern, so that it is more compatibility to equipment apparatus and lower cost in contrast with sputtered PTM process.
    Type: Grant
    Filed: May 3, 2007
    Date of Patent: June 22, 2010
    Assignee: Industrial Technology Research Institute
    Inventors: Chin-Tien Yang, Ming-Fang Hsu, Sheng-Li Chang, Tzuan-Ren Jeng
  • Patent number: 7718345
    Abstract: A composite photoresist structure includes a first organic layer disposed over a substrate to be etched, a sacrificial layer disposed on the first organic layer, and a second organic layer disposed on the sacrificial layer. The thickness of the first organic layer and the thickness of the second organic layer are both larger than the thickness of the sacrificial layer.
    Type: Grant
    Filed: April 14, 2008
    Date of Patent: May 18, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Jui-Tsen Huang
  • Patent number: 7608380
    Abstract: Anti-reflective compositions and methods of using these compositions to form circuits are provided. The compositions comprise a polymer dissolved or dispersed in a solvent system. In a preferred embodiment, the polymers are copolymers of a compound having the formulas and a compound having the formula where: (1) each R is individually selected from the group consisting of —OH, —NH2, hydrogen, aliphatics, and phenyls; and (2) L is selected from the group consisting of —SO2— and —CR?2—. The resulting compositions are spin bowl compatible (i.e., they do not crosslink prior to the bake stages of the microlithographic processes or during storage at room temperature), are wet developable, and have superior optical properties.
    Type: Grant
    Filed: November 2, 2005
    Date of Patent: October 27, 2009
    Assignee: Brewer Science Inc.
    Inventors: Robert Christian Cox, Charles J. Neef
  • Patent number: 7601486
    Abstract: A method and a material for creating an antireflective coating on an integrated circuit. A preferred embodiment comprises applying a dark polymer material on a reflective surface, curing the dark polymer material, and roughening a top surface of the dark polymer material. The roughening can be achieved by ashing the dark polymer material in an ash chamber. The dark polymer material, preferably a black matrix resin or a polyimide black matrix resin, when ashed in an oxygen rich atmosphere for a short period of time, forms a surface that is capable of absorbing light as well as randomly refracting light it does not absorb. A protective cap layer may be formed on top of the ashed dark polymer material to provide protection for the dark polymer material.
    Type: Grant
    Filed: December 15, 2005
    Date of Patent: October 13, 2009
    Assignee: Texas Instruments Incorporated
    Inventor: Jason Michael Neidrich
  • Patent number: 7598023
    Abstract: A process for fabricating a micro-display is provided. First, a wafer having a driving circuit thereon is provided. Then, a metallic reflective layer is formed on the wafer. Thereafter, an anti-reflection layer and a patterned photoresist layer are sequentially formed on the metallic reflective layer. Using the patterned photoresist layer as an etching mask, the anti-reflection layer and the metallic reflective layer are etched to form a trench pattern that exposes the surface of the wafer. After that, the patterned photoresist layer is removed. A dielectric layer is formed to cover the anti-reflection layer and fill the trench pattern. Then, a portion of the dielectric layer and the anti-reflection layer are removed to expose the surface of the metallic reflective layer.
    Type: Grant
    Filed: September 28, 2005
    Date of Patent: October 6, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Yi-Tyng Wu, Shih-Hung Chen, Huai-Hsuan Tsai, Chih-Hung Cheng, Chien-Hua Tsai, Hsuan-Hsu Chen
  • Patent number: 7592121
    Abstract: An antireflection film that has sufficient antireflection capability and antifouling property and is improved in scratch resistance, and a process for producing an antireflection film with considerably high productivity are provided, which is an antireflection film comprising a transparent support, a hard coat layer and a low refractive index layer, in this order, wherein the hard coat layer includes a polymerized product of (A) an ethylene oxide or propylene oxide adduct of a polyfunctional acrylate monomer and (B) a polyfunctional acrylate monomer having no oxide adduct.
    Type: Grant
    Filed: January 22, 2004
    Date of Patent: September 22, 2009
    Assignee: Fujifilm Corporation
    Inventor: Yuuzou Muramatsu
  • Patent number: 7585611
    Abstract: A photocurable and thermosetting resin composition comprising (A) a carboxylic acid-containing photosensitive resin having at least one carboxyl group and at least two ethylenically unsaturated bonds in its molecule, (B) a filler, (C) a photopolymerization initiator, (D) a diluent, and (E) a compound having at least two cyclic ether groups and/or cyclic thioether groups in its molecule, wherein the difference between the refractive index of the carboxylic acid-containing photosensitive resin (A) and that of the filler (B) is 0.20 or less, and the average grain diameter of the filler (B) is 0.5 to 0.05 ?m, and wherein the photo-curing and thermosetting resin composition can be developed by a diluted alkali solution, and can be pattern-formed by a laser oscillation light source of 350 to 420 nm in wavelength.
    Type: Grant
    Filed: January 5, 2007
    Date of Patent: September 8, 2009
    Assignee: Taiyo Ink Mfg. Co., Ltd.
    Inventors: Kenji Kato, Gen Itokawa
  • Patent number: 7524606
    Abstract: The present invention relates to a photoresist composition suitable for image-wise exposure and development as a positive photoresist comprising a positive photoresist composition and an inorganic particle material having an average particle size equal or greater than 10 nanometers, wherein the thickness of the photoresist coating film is greater than 5 microns. The positive photoresist composition can be selected from (1) a composition comprising (i) a film-forming resin having acid labile groups, and (ii) a photoacid generator, or (2) a composition comprising (i) a film-forming novolak resin, and (ii) a photoactive compound, or (3) a composition comprising (i) a film-forming resin, (ii) a photoacid generator, and (iii) a dissolution inhibitor.
    Type: Grant
    Filed: April 11, 2005
    Date of Patent: April 28, 2009
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Chunwei Chen, Ping-Hung Lu, Hong Zhuang, Mark Neisser
  • Patent number: 7524595
    Abstract: A method for forming an anti-reflection coating (ARC) with no hole over an overlay mark is described, which applies a fluid material of the ARC onto a substrate and then conducts at least two curing steps to convert the fluid material into the ARC. Such a bottom anti-reflection coating with no hole over the overlay mark can improve accuracy of the overlay measurement of lithography, thereby improving the alignment accuracy of the lithography process.
    Type: Grant
    Filed: September 8, 2005
    Date of Patent: April 28, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Kuang Lin, Huan-Hsin Yeh, Chung-An Chen
  • Patent number: 7455953
    Abstract: A positive working heat-sensitive lithographic printing plate precursor is disclosed which comprises a support having a hydrophilic surface and a coating, provided on the hydrophilic surface, wherein the coating comprises a spacer particle comprising aluminum hydroxide or aluminum oxide and having an average particle size larger than 0.3 ?m, for improving the scuff-mark resistance of the coating. Furthermore, the coating comprises an infrared light absorbing agent, an oleophilic resin soluble in an aqueous alkaline developer and a developer resistant means.
    Type: Grant
    Filed: March 25, 2004
    Date of Patent: November 25, 2008
    Assignee: Agfa Graphics, N.V.
    Inventors: Veerle Verschueren, Joan Vermeersch, Huub Van Aert, Eric Verschueren
  • Patent number: 7449287
    Abstract: The present invention relates to a support and an imaging element utilizing the support, wherein the support comprises at least one nacreous resin layer, wherein the uppermost layer comprises nacreous pigment in a polyolefin matrix polymer, and wherein the FLOP value of the imaging element, and, hence, the support, is greater than 25. Also included is a method of making the nacreous support.
    Type: Grant
    Filed: January 30, 2008
    Date of Patent: November 11, 2008
    Assignee: Eastman Kodak Company
    Inventors: Narasimharao Dontula, Terry A. Heath, Peter G. Evans
  • Patent number: 7427467
    Abstract: A silver salt photothermographic dry imaging material comprising a support having: (i) a photosensitive layer comprising photosensitive silver halide grains, an organic silver salt and a reducing agent for silver ions on one side of the support; and (ii) a backing layer on a side of the support opposite the photosensitive layer, comprising: (a) organic solid lubricant particles having an average diameter of 1.0 to 30 ?m; and (b) inorganic microparticles or organic microparticles.
    Type: Grant
    Filed: April 19, 2006
    Date of Patent: September 23, 2008
    Assignee: Konica Minolta Medical & Graphic, Inc.
    Inventor: Miyuki Teranishi
  • Patent number: 7425409
    Abstract: The present invention provides a photothermographic material having, on at least one side of a support, an image forming layer including at least a photosensitive silver halide, a non-photosensitive organic silver salt, a reducing agent, and a binder, and a non-photosensitive layer, wherein the photothermographic material includes at least one polymer selected from a polycycloolefin, a cycloolefin copolymer, or a copolymer of olefin and maleimide or maleamide. A photothermographic material which is excellent in film surface properties and photographic properties is provided.
    Type: Grant
    Filed: November 20, 2006
    Date of Patent: September 16, 2008
    Assignee: FUJIFILM Corporation
    Inventor: Yasuhiro Yoshioka
  • Patent number: 7387866
    Abstract: A method for fabricating an integrated circuit using a photo-lithographic process includes the steps of placing at least two anti-reflective coating layers between a reflective surface and another material. The indices of refraction, absorptions, and thicknesses of the at least two anti-reflective coating layers are chosen such that the amplitudes and phase differences of radiation reflected from the anti-reflective coating layers, as well as any other reflective surfaces below the anti-reflective coating layers, mutually cancel when combined. The invention may be practiced using more than two layers of anti-reflective coating. Multiple layers of anti-reflective coating may be used below an inter-level dielectric, in which case they may serve the additional purpose of functioning as an etch-stop.
    Type: Grant
    Filed: March 14, 2003
    Date of Patent: June 17, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Philip J. Ireland, Thomas R. Glass, Gurtej Sandhu
  • Patent number: 7326527
    Abstract: A method of processing a photothermographic material by a thermal processor is disclosed, wherein the photothermographic material comprises on one side of a support a light-sensitive layer containing an organic silver salt, silver halide grains, a binder and a reducing agent and a light-insensitive layer and on the other side of the support a back coating layer; the thermal processor uses a transport system in which a feed roller is disposed with being in contact with a bundle of plural stacked film sheets of the photothermographic material so as to feed the uppermost film sheet of the bundle of film sheets through rotation of the feed roller to expose and develop the fed film sheet; and the back coating layer contains a matting agent of an organic resin.
    Type: Grant
    Filed: February 20, 2007
    Date of Patent: February 5, 2008
    Assignee: Konica Minolta Medical & Graphic, Inc
    Inventors: Narito Goto, Makoto Sumi
  • Patent number: 7303858
    Abstract: Disclosed herein is a photoacid generating polymer represented by Formula 1 below: wherein R1 is a C1-10 hydrocarbon or a C1-10 hydrocarbon in which the hydrogen atoms are wholly or partly replaced by fluorine atoms; R2 is hydrogen or a methyl group; and a, b, c and d represent the mole fraction of each monomer and are in the range between about 0.05 and about 0.9, such that the sum of a, b, c, and d equals one. Since the photoacid generating polymer of Formula 1 is not water-soluble and acts as a photoacid generator, it can be used to prepare a top anti-reflective coating composition for immersion lithography.
    Type: Grant
    Filed: June 28, 2005
    Date of Patent: December 4, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jae Chang Jung, Cheol Kyu Bok, Chang Moon Lim, Seung Chan Moon
  • Publication number: 20070275330
    Abstract: Disclosed are embodiments of a bi-layer bottom anti-reflective coating (BARC) with graded optical properties (i.e., a graded refractive index) and a method of forming the BARC. The BARC is formed by sequentially coating two BARC layers onto a substrate. Each BARC layer comprises a polymer and an optical component, each has slightly different optical properties, and each is processed such that either the polymers partially intermix or the optical component partially diffuses between the layers in order to create a graded chromophore concentration across the resulting BARC. Thus, a gradual transition of optical properties is created from the substrate/BARC interface to the BARC/photo-resist interface.
    Type: Application
    Filed: May 25, 2006
    Publication date: November 29, 2007
    Applicants: INTERNATIONAL BUSINESS MACHINES CORPORATION, INFINEON TECHNOLOGIES NORTH AMERICA CORP.
    Inventors: Todd C. Bailey, Wai-Kin Li, Sajan Marokkey, Dirk Pfeiffer
  • Patent number: 7294445
    Abstract: A method of forming a glossy area on a pre-press proof is provided, wherein the method includes printing a spot varnish layer, wherein the presence of the spot varnish enhances the glossiness of the overprinted color layers of the image. The method provides a pre-press proof simulating a printed spot varnish.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: November 13, 2007
    Assignee: Eastman Kodak Company
    Inventors: Eileen T. Henry, Calvin D. Curtice
  • Patent number: 7276325
    Abstract: A composition utilized in and a method for forming an electrode used in field emission type of display devices said composition is a photosensitive copper conductive composition comprising: a mixture of (a) copper powder at least 70 wt % out of 100 wt % copper powders having a particle size in the range of 0.2-3 ?m and (b) an inorganic binder with a softening point in the range of 380-580° C. in an amount of 1-40 wt % based on 100 wt % copper powders, said mixture being dispersed in an organic vehicle comprising (c) an organic polymer binder, (d) a phototoinitiator, (e) a photohardenable monomer, and an organic solvent; and the photosensitive copper conductive composition being fireable at a temperature in the range of 450-600° C. in a reductive atmosphere.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: October 2, 2007
    Assignee: E.I. duPont de Nemours and Company
    Inventor: Keiichiro Hayakawa
  • Patent number: 7270945
    Abstract: A method of forming an image using a photothermographic material containing a support having thereon an image forming layer which contains an organic silver salt, silver halide grains, a binder and a reducing agent, the method including the steps of: imagewise exposing the photothermographic material to light to form a latent image; and simultaneously or sequentially heating the exposed photothermographic material to develop the latent image, wherein at least two matting agents are contained on one surface of the support, and an average particle size LA of Matting agent A and an average particle size LB of Matting agent B satisfy the following relationship: 1.5?LB/LA?6.0, provided that Matting agent A is the matting agent having a largest weight ratio; and Matting agent B is the matting agent having a second largest weight ratio.
    Type: Grant
    Filed: January 5, 2007
    Date of Patent: September 18, 2007
    Assignee: Konica Minolta Medical & Graphic, Inc.
    Inventor: Narito Goto
  • Patent number: 7262001
    Abstract: A heat-developable photosensitive material comprising a support, providing thereon, an organic silver salt, silver halide, a binder and a reducing agent, wherein a value of Rz(E)/Rz(B) is from 0.1 to 0.7 in which Rz(E) is the ten-point average roughness of the outermost surface of the image forming layer side and Rz(B) is the ten-point average roughness of the outermost surface of the side of the support opposite to the side of the image forming layer.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: August 28, 2007
    Assignee: Konica Minolta Medical & Graphic, Inc.
    Inventor: Narito Goto
  • Patent number: 7250247
    Abstract: A method for fabricating an integrated circuit using a photo-lithographic process includes the steps of placing at least two anti-reflective coating layers between a reflective surface and another material. The indices of refraction, absorptions, and thicknesses of the at least two anti-reflective coating layers are chosen such that the amplitudes and phase differences of radiation reflected from the anti-reflective coating layers, as well as any other reflective surfaces below the anti-reflective coating layers, mutually cancel when combined. The invention may be practiced using more than two layers of anti-reflective coating. Multiple layers of anti-reflective coating may be used below an inter-level dielectric, in which case they may serve the additional purpose of functioning as an etch-stop.
    Type: Grant
    Filed: August 30, 2001
    Date of Patent: July 31, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Philip J. Ireland, Thomas R. Glass, Gurtej Sandhu
  • Publication number: 20070172759
    Abstract: There is disclosed an antireflection film composition used for lithography comprising: at least a light absorbing silicone resin with mass average molecular weight of 30,000 or less in which components having molecular weight of less than 600 account for 5% or less of the whole resin; a first acid generator that is decomposed at a temperature of 200 degrees C. or less; and an organic solvent. There can be provided an antireflection film composition that prevents intermixing in the vicinity of the antireflection film/photoresist film interface, that provides a resist pattern over the antireflection film with almost vertical wall profile, and that provides less damage to an underlying layer of the antireflection film.
    Type: Application
    Filed: January 17, 2007
    Publication date: July 26, 2007
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsutomu Ogihara, Motoaki Iwabuchi, Takeshi Asano, Takafumi Ueda
  • Publication number: 20070148602
    Abstract: Disclosed herein is a method for manufacturing a semiconductor device that includes performing an O2 plasma treatment step after forming a Si-containing anti-reflection film.
    Type: Application
    Filed: August 29, 2006
    Publication date: June 28, 2007
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Sung Koo Lee, Jae Chang Jung
  • Patent number: 7192696
    Abstract: A method of forming an image using a photothermographic material containing a support having thereon an image forming layer which contains an organic silver salt, silver halide grains, a binder and a reducing agent, the method including the steps of: imagewise exposing the photothermographic material to light to form a latent image; and simultaneously or sequentially heating the exposed photothermographic material to develop the latent image, wherein at least two matting agents are contained on one surface of the support, and an average particle size LA of Matting agent A and an average particle size LB of Matting agent B satisfy the following relationship: 1.5?LB/LA?6.0, provided that Matting agent A is the matting agent having a largest weight ratio; and Matting agent B is the matting agent having a second largest weight ratio.
    Type: Grant
    Filed: June 1, 2006
    Date of Patent: March 20, 2007
    Assignee: Konica Minolta Medical & Graphic, Inc.
    Inventor: Narito Goto
  • Patent number: 7138218
    Abstract: A process of forming ultra fine patterns using bottom anti-reflective coating containing acid generator. More particularly, a process of forming vertical patterns using an organic bottom anti-reflective coating containing excessive amount of acid generator, in order to prevent formation of sloping patterns due to photoresist resins absorbing wavelength of light used as light sources during lithography process using light sources such as KrF, ArF, VUV, EUV, E-beam and ion beam, even when photoresist resins having high absorbance to light source are used.
    Type: Grant
    Filed: December 17, 2002
    Date of Patent: November 21, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung Koo Lee, Jae Chang Jung, Geun Su Lee, Ki Soo Shin
  • Patent number: 7132217
    Abstract: Disclosed are an organic anti-reflective coating composition which is introduced to top portion of a photoresist and a pattern forming method using the same, in a process for forming ultra-fine patterns of photoresist for photolithography by using 193 nm ArF or 157 nm VUV light source, and more particularly to, an organic anti-reflective coating composition which can protect photoresist from atmospheric amine to minimize a post exposure delay effect, and minimize pattern distortion caused by diffused reflection, i.e., a swing phenomenon, with the improvement of a notching phenomenon and the reduction of reflection rate, and a patterning forming method using the same.
    Type: Grant
    Filed: July 15, 2004
    Date of Patent: November 7, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: Geun Su Lee, Sam Young Kim
  • Patent number: 7129025
    Abstract: A fabrication method of three-dimensional microstructures is to fabricate a real 3D microstructure. First, a substrate is coated with an anti-reflection layer to absorb reflected exposure light, and then the anti-reflection layer is overlaid with a first thick photoresist. After having been fully exposed by a first photo mask, a predetermined exposure depth of the first thick photoresist is achieved by a second photo mask and dosage-controlled UV exposure. If the unexposed areas of the first thick photoresist are released during a development step, a single-layer microstructure is created. Inversely, a multi-layered microstructure can be obtained simply by repeating the process described above. After all layers are laminated on the substrate, all unexposed areas of the all thick photoresist layers are released and connected to each other during a development step.
    Type: Grant
    Filed: May 14, 2003
    Date of Patent: October 31, 2006
    Assignee: National Tsing Hua University
    Inventors: Fan-Gang Tseng, Yun-Ju Chuang
  • Patent number: 7129030
    Abstract: A method of forming an image using a photothermographic material containing a support having thereon an image forming layer which contains an organic silver salt, silver halide grains, a binder and a reducing agent, the method including the steps of: imagewise exposing the photothermographic material to light to form a latent image; and simultaneously or sequentially heating the exposed photothermographic material to develop the latent image, wherein at least two matting agents are contained on one surface of the support, and an average particle size LA of Matting agent A and an average particle size LB of Matting agent B satisfy the following relationship: 1.5?LB/LA?6.0, provided that Matting agent A is the matting agent having a largest weight ratio; and Matting agent B is the matting agent having a second largest weight ratio.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: October 31, 2006
    Assignee: Konica Minolta Medical & Graphic, Inc.
    Inventor: Narito Goto
  • Patent number: 7125645
    Abstract: A composite photoresist structure includes an first organic layer located on a substrate, a sacrificial layer located on the first organic layer, and a second organic layer located on the sacrificial layer. The first organic layer is made of materials that can be easily removed by plasma. Therefore, the surface of the substrate will not be damaged while transferring a predetermined pattern onto the substrate.
    Type: Grant
    Filed: April 10, 2002
    Date of Patent: October 24, 2006
    Assignee: United Microelectronics Corp.
    Inventor: Jui-Tsen Huang
  • Patent number: 7105279
    Abstract: During the patterning of a semiconductor layer, an N-free SiOx layer is produced under an acid-forming photoresist layer in order to prevent a resist degradation. The Si content of the grown SiOx layer being varied in order to set a desired extinction coefficient k and a desired refractive index n. The SiOx layer formation is effected by a vapor phase deposition, SiH4 and O2 being used as starting gases.
    Type: Grant
    Filed: April 28, 2003
    Date of Patent: September 12, 2006
    Assignee: Infineon Technologies AG
    Inventors: Mirko Vogt, Alexander Hausmann
  • Patent number: 7087366
    Abstract: A photothermographic emulsion is prepared by chemically sensitizing silver halide grains formed by oxidative decomposition of a diphenylphosphine sulfide compound on or around the silver halide grains. This procedure uses a unique sequence of steps and provides increased photographic speed and manufacturing reproducibility.
    Type: Grant
    Filed: December 9, 2003
    Date of Patent: August 8, 2006
    Assignee: Eastman Kodak Company
    Inventors: Lilia P. Burleva, Kumars Sakizadeh, David R. Whitcomb, Sharon M. Simpson
  • Patent number: RE40920
    Abstract: New anti-reflective or fill compositions having improved flow properties are provided. The compositions comprise a styrene-allyl alcohol polymer and preferably at least one other polymer (e.g., cellulosic polymers) in addition to the styrene-allyl alcohol polymer. The inventive compositions can be used to protect contact or via holes from degradation during subsequent etching in the dual damascene process. The inventive compositions can also be applied to substrates (e.g., silicon wafers) to form anti-reflective coating layers having high etch rates which minimize or prevent reflection during subsequent photoresist exposure and developing.
    Type: Grant
    Filed: May 1, 2007
    Date of Patent: September 22, 2009
    Assignee: Brewer Science Inc.
    Inventors: Gu Xu, Jimmy D. Meador, Mandar R. Bhave, Shreeram V. Deshpande, Kelly A. Nowak