Matting Or Other Surface Reflectivity Altering Material Patents (Class 430/950)
  • Patent number: 7070911
    Abstract: A structure and method for reducing standing waves in a photoresist during manufacturing of a semiconductor is presented. Embodiments of the present invention include a method for reducing standing wave formation in a photoresist during manufacturing a semiconductor device comprising depositing a first anti-reflective coating having an extinction coefficient above a material, and depositing a second anti-reflective coating having an extinction coefficient above the first anti-reflective coating, such that the first anti-reflective coating and the second anti-reflective coating reduce the formation of standing waves in a photoresist during a lithography process.
    Type: Grant
    Filed: January 23, 2003
    Date of Patent: July 4, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Dawn Hopper, Kouros Ghandehari, Minh Van Ngo
  • Patent number: 7070914
    Abstract: Disclosed is a process for forming an image on a substrate, comprising the steps of: (a) coating on the substrate a first layer of a radiation sensitive, antireflective composition; (b) coating a second layer of a photoresist composition onto the first layer of the antireflective composition; (c) selectively exposing the coated substrate from step (b) to actinic radiation; and (d) developing the exposed coated substrate from step (c) to form an image; wherein both the photoresist composition and the antireflective composition are exposed in step (c); both are developed in step (d) using a single developer; wherein the antireflective composition of step (a) is a first minimum bottom antireflective coating (B.A.R.C.) composition, having a solids content of up to about 8% solids, and a maximum coating thickness of the coated substrate of ? 2 ? n wherein ? is the wavelength of the actinic radiation of step (c) and n is the refractive index of the B.A.R.C. composition.
    Type: Grant
    Filed: January 9, 2002
    Date of Patent: July 4, 2006
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Mark O. Neisser, Joseph E. Oberlander, Medhat A. Toukhy, Raj Sakamuri, Shuji Ding-Lee
  • Patent number: 7063941
    Abstract: A photothermographic emulsion is prepared by chemically sensitizing silver halide grains by oxidative decomposition of an organic sulfur-containing compound on or around the silver halide grains. This procedure uses a unique sequence of steps and provides increased photographic speed and manufacturing reproducibility. The resulting photothermographic emulsion can be used to prepare photothermographic materials.
    Type: Grant
    Filed: December 9, 2003
    Date of Patent: June 20, 2006
    Assignee: Eastman Kodak Company
    Inventors: Lilia P. Burleva, Mark C. Skinner, Kumars Sakizadeh, Sharon M. Simpson
  • Patent number: 7029821
    Abstract: Methods are provides methods are provided to prepare photoresist and organic antireflective coating composition with a filter having a mean pore size of less than about 0.4 microns. Photoresist compositions and antireflective coatings produced by such methods can provide manufactured microelectronic devices that have significantly reduced defects. Photoresist and antireflective compositions obtainable by such methods also are provided.
    Type: Grant
    Filed: February 11, 2004
    Date of Patent: April 18, 2006
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Richard J. Carey, Peter Trefonas, III, Michael J. Kaufman
  • Patent number: 7026101
    Abstract: Antireflective compositions are provided that contain a basic additive material. Such use of a basic material can significantly decrease or even completely eliminate notching of an overcoated photoresist relief image. Antireflective formulations of the invention are preferably crosslinking compositions and may contain a resin component in addition to the basic additive. Antireflective compositions of the invention can be effectively used at a variety of wavelengths used to expose an overcoated photoresist layer, including 248 nm and 193 nm.
    Type: Grant
    Filed: July 30, 2001
    Date of Patent: April 11, 2006
    Assignee: Shipley Company, LLC
    Inventors: Peter Trefonas, III, Manuel doCanto, Edward K. Pavelchek
  • Patent number: 7018779
    Abstract: A process for prohibiting amino group transport from the top surface of a layered semiconductor wafer to a photoresist layer introduces a thin film oxynitride over the silicon nitride layer using a high temperature step of nitrous oxide (N2O) plus oxygen (O2) at approximately 300° C. for about 50 to 120 seconds. By oxidizing the silicon nitride layer, the roughness resulting from the adverse affects of amino group transport eliminated. Moreover, this high temperature step, non-plasma process can be used with the more advanced 193 nanometer technology, and is not limited to the 248 nanometer technology. A second method for exposing the silicon nitride layer to an oxidizing ambient, prior to the application of antireflective coating, introduces a mixture of N2H2 and oxygen (O2) ash at a temperature greater than or equal to 250° C. for approximately six minutes. This is followed by an O2 plasma clean and/or an Ozone clean, and then the subsequent layering of the ARC and photoresist.
    Type: Grant
    Filed: January 7, 2003
    Date of Patent: March 28, 2006
    Assignee: International Business Machines Corporation
    Inventors: Wai-kin Li, Rajeev Malik, Joseph J. Mezzapelle
  • Patent number: 6998226
    Abstract: A method of forming a patterned photoresist layer. First, an anti-reflection coating layer is formed on a substrate. Next, a first bake is performed. A photoresist layer is then formed on the anti-reflection coating layer. Exposure is performed. A second bake is performed, wherein the temperature difference between the first bake and the second bake is about 35 ° C.˜55 ° C. Finally, development is performed. The patterned photoresist layer features have perfect profiles in accordance with this invention.
    Type: Grant
    Filed: July 10, 2002
    Date of Patent: February 14, 2006
    Assignee: Nanya Technology Corporation
    Inventors: Yuan-Hsun Wu, Wen-Bin Wu, Yung Long Hung, Ya Chih Wang
  • Patent number: 6962769
    Abstract: Anti-reflective compositions and methods of using those compositions to form circuits are provided. The compositions comprise a polymer dissolved or dispersed in a solvent system. In one embodiment, the compositions comprise less than about 0.3% by weight of a strong acid. In another embodiment, the weight ratio of strong acid to weak acid in the composition is from about 0:100 to about 25:75. Examples of preferred weak acid compounds include phenolic compounds (e.g., Bisphenol S, Bisphenol A, ?-cyano-4-hydroxycinnamic acid), carboxylic acids (e.g., acetic acid), phosphoric acid, and cyano compounds. The polymer and other ingredients are preferably physically mixed in a solvent system. The resulting compositions are spin bowl compatible (i.e., they do not crosslink prior to the bake stages of the microlithographic processes or during storage at room temperature).
    Type: Grant
    Filed: September 11, 2003
    Date of Patent: November 8, 2005
    Assignee: Brewer Science Inc.
    Inventors: Xie Shao, Jim D. Meador, Mandar Bhave, Vandana Krishnamurthy, Kelly A. Nowak, Michelle Fowler, Shreeram V. Deshpande
  • Patent number: 6936408
    Abstract: Within a method for fabricating a microelectronic fabrication there is employed a patterned positive photoresist residue layer as a protective layer within an aperture when processing an upper region of a topographic microelectronic layer having formed therein the aperture. The patterned positive photoresist residue layer is formed employing an incomplete vertical, but complete horizontal, blanket photoexposure and development of a blanket positive photoresist layer formed upon the topographic microelectronic layer and filling the aperture. The method provides the microelectronic fabrication with enhanced reliability.
    Type: Grant
    Filed: July 16, 2002
    Date of Patent: August 30, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Yong-Shun Liao, Juing-Yi Wu, Dian-Hau Chen, Zhen-Cheng Chou
  • Patent number: 6936405
    Abstract: An improved method for applying organic antireflective coatings to substrate surfaces and the resulting precursor structures are provided. Broadly, the methods comprise chemical vapor depositing (CVD) an antireflective compound on the substrate surface. In one embodiment, the compound is highly strained (e.g., having a strain energy of at least about 10 kcal/mol) and comprises two cyclic moieties joined to one another via a linkage group. The most preferred monomers are [2.2](1,4)-naphthalenophane and [2.2](9,10)-anthracenophane. The CVD processes comprise heating the antireflective compound so as to vaporize it, and then pyrolizing the vaporized compound to form stable diradicals which are subsequently polymerized on a substrate surface in a deposition chamber. The inventive methods are useful for providing highly conformal antireflective coatings on large substrate surfaces having super submicron (0.25 ?m or smaller) features.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: August 30, 2005
    Assignee: Brewer Science Inc.
    Inventors: Ram W. Sabnis, Douglas J. Guerrero, Terry Brewer, Mary J. Spencer
  • Patent number: 6919146
    Abstract: A reticle has a transparent substrate, mask shapes on the substrate, a transparent material covering the mask shapes and an optional anti-reflective material over the transparent material.
    Type: Grant
    Filed: June 25, 2002
    Date of Patent: July 19, 2005
    Assignee: International Business Machines Corporation
    Inventors: Daniel A. Corliss, Christopher J. Progler, Nakgeuon Seong
  • Patent number: 6913874
    Abstract: A photographic imaging element is disclosed comprising a support having on a front side thereof a silver halide imaging layer and an outermost protective overcoat layer comprising a film-forming binder, and on the backside thereof an outermost protective backcoat layer comprising a film-forming binder; the protective overcoat and backcoat layers each comprising a lubricant present in an amount of at least 5 mg/m2 and permanent matting agent having a Tg of at least 40° C. and an average particle size of from about 0.5 to about 3 micrometers in an amount of at least 1 mg/m2; and at least one of the protective overcoat layer or the protective backcoat layer further comprising crosslinked elastomeric polymer matte particles, wherein the crosslinked elastomeric polymer matte particles have a Tg of 20° C. or less, an average particle size of at least 90% of or greater than that of the permanent matting agent particles having a Tg of at least 40° C.
    Type: Grant
    Filed: October 21, 2003
    Date of Patent: July 5, 2005
    Assignee: Eastman Kodak Company
    Inventors: Christopher J. Haller, Charles C. Anderson, Eugene A. Armour, William J. Hennessey, Peter D. Rollinson
  • Patent number: 6900000
    Abstract: An improved method for applying organic antireflective coatings to substrate surfaces and the resulting precursor structures are provided. Broadly, the methods comprise chemical vapor depositing (CVD) an antireflective compound on the substrate surface. In one embodiment, the compound is highly strained (e.g., having a strain energy of at least about 10 kcal/mol) and comprises two cyclic moieties joined to one another via a linkage group. The most preferred monomers are [2.2](1,4)-naphthalenophane and [2.2](9,10)-anthracenophane. The CVD processes comprise heating the antireflective compound so as to vaporize it, and then pyrolizing the vaporized compound to form stable diradicals which are subsequently polymerized on a substrate surface in a deposition chamber. The inventive methods are useful for providing highly conformal antireflective coatings on large substrate surfaces having super submicron (0.25 ?m or smaller) features.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: May 31, 2005
    Assignee: Brewer Science Inc.
    Inventors: Ram W. Sabnis, Douglas J. Guerrero, Terry Brewer, Mary J. Spencer
  • Patent number: 6894104
    Abstract: New anti-reflective or fill compositions having improved flow properties are provided. The compositions comprise a styrene-allyl alcohol polymer and preferably at least one other polymer (e.g., cellulosic polymers) in addition to the styrene-allyl alcohol polymer. The inventive compositions can be used to protect contact or via holes from degradation during subsequent etching in the dual damascene process. The inventive compositions can also be applied to substrates (e.g., silicon wafers) to form anti-reflective coating layers having high etch rates which minimize or prevent reflection during subsequent photoresist exposure and developing.
    Type: Grant
    Filed: May 23, 2002
    Date of Patent: May 17, 2005
    Assignee: Brewer Science Inc.
    Inventors: Gu Xu, Jimmy D. Meador, Mandar R. Bhave, Shreeram V. Deshpande, Kelly A. Nowak
  • Patent number: 6878507
    Abstract: In one aspect, the invention includes a semiconductor processing method. An antireflective material layer is formed over a substrate. At least a portion of the antireflective material layer is annealed at a temperature of greater than about 400° C. A layer of photoresist is formed over the annealed antireflective material layer. The layer of photoresist is patterned. A portion of the antireflective material layer unmasked by the patterned layer of photoresist is removed. In another aspect, the invention includes the following semiconductor processing. An antireflective material layer is formed over a substrate. The antireflective material layer is annealed at a temperature of greater than about 400° C. A layer of photoresist is formed over the annealed antireflective material layer. Portions of the layer of photoresist are exposed to radiation waves. Some of the radiation waves are absorbed by the antireflective material during the exposing.
    Type: Grant
    Filed: June 19, 2001
    Date of Patent: April 12, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Richard Holscher, Zhiping Yin, Tom Glass
  • Patent number: 6869747
    Abstract: An improved method for applying organic antireflective coatings to substrate surfaces and the resulting precursor structures are provided. Broadly, the methods comprise chemical vapor depositing (CVD) an antireflective compound on the substrate surface. In one embodiment, the compound is highly strained (e.g., having a strain energy of at least about 10 kcal/mol) and comprises two cyclic moieties joined to one another via a linkage group. The most preferred monomers are [2.2](1,4)-naphthalenophane and [2.2](9,10)-anthracenophane. The CVD processes comprise heating the antireflective compound so as to vaporize it, and then pyrolizing the vaporized compound to form stable diradicals which are subsequently polymerized on a substrate surface in a deposition chamber. The inventive methods are useful for providing highly conformal antireflective coatings on large substrate surfaces having super submicron (0.25 ?m or smaller) features.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: March 22, 2005
    Assignee: Brewer Science Inc.
    Inventors: Ram W. Sabnis, Douglas J. Guerrero, Terry Brewer, Mary J. Spencer
  • Patent number: 6861206
    Abstract: A method for producing a structured layer on a semiconductor substrate includes the steps of creating the layer on the substrate, modifying a surface of the layer to form a chemically neutral surface, creating an acid-forming photoresist layer on the layer on the substrate, exposing the acid-forming photoresist layer to light for embodying an acid-containing layer in the photoresist layer in accordance with a specified structure of a photoexposure mask, and selectively removing the acid-containing region of the photoresist layer with a lye. The method further includes modifying the surface of the foundation layer for reducing degradation in structuring the acid-forming layer.
    Type: Grant
    Filed: September 3, 2002
    Date of Patent: March 1, 2005
    Assignee: Infineon Technologies AG
    Inventor: Mirko Vogt
  • Patent number: 6855466
    Abstract: The present invention provides new light absorbing compositions suitable for use as antireflective coating compositions (“ARCs”), including for deep UV applications. The antireflective compositions of the invention are particularly useful where a planarizing coating layer is required. ARCs of the invention contain a low molecular weight resin, a plasticizer compound and/or a low Tg resin. The invention also includes methods for applying forming planarizing ARC coating layers.
    Type: Grant
    Filed: September 15, 2001
    Date of Patent: February 15, 2005
    Assignee: Shipley Company, L.L.C.
    Inventors: Edward K. Pavelchek, Timothy G. Adams, Manuel doCanto, Suzanne Coley, George G. Barclay
  • Patent number: 6844143
    Abstract: A photolithographic process that involves building a sandwich photoresist structure. A first photoresist layer is formed over a substrate. An anti-reflection layer is formed over the first photoresist layer. A second photoresist layer is formed over the anti-reflection layer. A first photo-exposure is conducted and the exposed second photoresist layer is developed to pattern the second photoresist layer and the anti-reflection layer. Using the second photoresist layer and the anti-reflection layer as a mask, a second photo-exposure and a second photoresist development are conducted to pattern the first photoresist layer.
    Type: Grant
    Filed: August 2, 2002
    Date of Patent: January 18, 2005
    Assignee: United Microelectronics Corp.
    Inventors: Benjamin Szu-Min Lin, Vencent Chang, George Liu, Cheng-Chung Chen
  • Publication number: 20040242759
    Abstract: New anti-reflective compositions for use in 193 nm applications are provided. The compositions comprise a polymer having recurring silane monomers. The inventive compositions can be applied to substrates (e.g., silicon wafers) to form anti-reflective coating layers having improved adhesion of photoresists to the anti-reflective coating layer, thereby reducing or preventing the occurrence of photoresist pattern collapse typically seen in feature sizes of 100 nm or smaller.
    Type: Application
    Filed: May 30, 2003
    Publication date: December 2, 2004
    Inventor: Mandar R. Bhave
  • Patent number: 6821712
    Abstract: Methods of forming a resist pattern, of forming an electrode pattern, and of manufacturing a surface acoustic wave device are provided. The resist-pattern- and the electrode-pattern-forming methods each comprise a step of forming an antireflection film for preventing ultraviolet light from diffusely reflecting to a transparent substrate. The antireflection film is formed with a semiconductor having a band gap energy of 3.4 eV or less. The reflectance is expressed by (n1−n2)2/(n1+n2)2 is 0.15 or less, wherein n1 and n2 is the refractive indexes of the substrate and the antireflection film, respectively. The resist-pattern- and the electrode-pattern-forming methods with simple processes can achieve high-quality, reliable resist patterns and electrode patterns.
    Type: Grant
    Filed: January 7, 2002
    Date of Patent: November 23, 2004
    Assignee: Murata Manufacturing Co., Ltd.
    Inventors: Kenji Sakaguchi, Toshiyuki Fuyutsume, Yoshihiro Koshido
  • Patent number: 6794099
    Abstract: The invention relates to a material to form an indicator element comprising a base material and at least one photosensitive silver halide layer, wherein the base material comprises at least one specular reflective layer between two polymer layers wherein the polymer layer between the at least one specular reflective layer and the silver halide layer is substantially transparent.
    Type: Grant
    Filed: April 8, 2003
    Date of Patent: September 21, 2004
    Assignee: Eastman Kodak Company
    Inventors: Wen-Li A. Chen, Robert P. Bourdelais, Cheryl J. Kaminsky, Richard A. Castle, Thomas M. Smith
  • Patent number: 6777163
    Abstract: The invention relates to a process and apparatus for forming a photopolymerizable element useful as a flexographic printing plate having at least one layer of particulate material. The process includes forming a layer of a molten photopolymerizable material onto a support; and applying the particulate material onto an exterior surface of the photopolymerizable layer opposite the support within 48 hours of forming the layer of photopolymerizable material. The process optionally includes heating of the surface of the photopolymerizable layer.
    Type: Grant
    Filed: October 2, 2002
    Date of Patent: August 17, 2004
    Assignee: E. I. du Pont de Nemours and Company
    Inventors: Roxy Ni Fan, William John Hommes
  • Publication number: 20040126717
    Abstract: A photothermographic material comprising, on a support, an image forming layer containing at least a photosensitive silver halide, a non-photosensitive organic silver salt, a reducing agent and a binder, and a non-photosensitive outermost layer at a surface side of the support at which the image forming layer is provided, wherein:
    Type: Application
    Filed: December 15, 2003
    Publication date: July 1, 2004
    Inventors: Hajime Nakagawa, Yoshihisa Tsukada, Keiichi Suzuki
  • Patent number: 6749992
    Abstract: A printing plate for computer-to plate lithography having a laser-ablatable member supported by a substrate. At least one portion of the laser-ablatable member is formed form an acrylic polymer containing laser-sensitive particles. The laser-sensitive particles absorb imaging radiation and cause the portion of the laser-ablatable member containing the laser sensitive particles and any overlying layers to be ablated.
    Type: Grant
    Filed: February 5, 2003
    Date of Patent: June 15, 2004
    Assignee: Alcoa Inc.
    Inventors: David S. Bennett, Sallie L. Blake, Daniel L. Serafin, Jean Ann Skiles, Robert E. Bombalski, Clinton S. Zediak, Gary A. Nitowski, Joseph D. Guthrie
  • Patent number: 6740469
    Abstract: Anti-reflective compositions and methods of using these compositions to form circuits are provided. The compositions comprise a polymer dissolved or dispersed in a solvent system. In a preferred embodiment, the polymers of the composition include recurring units having the formula where X is a light-attenuating moiety, M is a metal, and each R is individually selected from the group consisting of hydrogen, alkyls, aryls, alkoxys, and phenoxys. The resulting compositions are spin bowl compatible (i.e., they do not crosslink prior to the bake stages of the microlithographic processes or during storage at room temperature), are wet developable, and have superior optical properties.
    Type: Grant
    Filed: June 25, 2002
    Date of Patent: May 25, 2004
    Assignee: Brewer Science Inc.
    Inventors: Vandana Krishnamurthy, Charles J. Neef, Juliet A. M. Snook
  • Patent number: 6730454
    Abstract: Antireflective compositions characterized by the presence of an SiO-containing polymer having chromophore moieties and transparent moieties are useful antireflective hardmask compositions in lithographic processes. These compositions provide outstanding optical, mechanical and etch selectivity properties while being applicable using spin-on application techniques. The compositions of the invention are advantageously useful with shorter wavelength lithographic processes and/or have minimal residual acid content.
    Type: Grant
    Filed: April 16, 2002
    Date of Patent: May 4, 2004
    Assignee: International Business Machines Corporation
    Inventors: Dirk Pfeiffer, Marie Angelopoulos, Katherina Babich, Phillip Brock, Wu-Song Huang, Arpan P. Mahorowala, David R. Medeiros, Ratnam Sooriyakumaran
  • Publication number: 20040081920
    Abstract: A method for providing self-aligned contact pads along an edge face of stacked electronics utilizes reflected light from the metal contact leads to define their location. A thin layer of light sensitive polymer is applied over the edge face, at which incident light is directed. A solvent developer is applied which dissolves the polymer where the reflected light passed. In a two-pass technique reflected light destroys an inhibitor in the polymer, and that portion of the layer is dissolved by a developer to delineate voids for contact pads. In a wavefront cancellation technique reflected light is 180° out of phase with the first pass of light, the combination not cross-linking molecules in the polymer, and that portion of the layer is dissolved to delineate voids for contact pads.
    Type: Application
    Filed: October 22, 2002
    Publication date: April 29, 2004
    Inventor: Stewart A. Clark
  • Publication number: 20040072109
    Abstract: A silver halide color photographic photosensitive material comprising, on a reflective support, at least one yellow-coloring photosensitive silver halide emulsion layer, at least one magenta-coloring photosensitive silver halide emulsion layer, at least one cyan-coloring photosensitive silver halide emulsion layer and at least one non-photosensitive, non-coloring hydrophilic colloid layer. Reflective density A(&lgr;) at a wavelength &lgr; at an unexposed portion of the material after a color development treatment is 0.08 or less for 450 nm, 0.10 or less for 550 nm, and 0.08 or less for 650 nm. Alternatively, chromaticity at the unexposed portion of the material after the color development treatment satisfies the condition: 91≦L*≦96, 0≦a*≦2.0, −9.0≦b*≦−3.0. Also provided is a process for forming an image using the silver halide color photographic photosensitive material.
    Type: Application
    Filed: September 4, 2003
    Publication date: April 15, 2004
    Applicant: FUJI PHOTO FILM CO., LTD.
    Inventors: Toshiyuki Makuta, Shin Soejima, Hiroyuki Yoneyama
  • Patent number: 6720133
    Abstract: A method of manufacturing an integrated circuit includes a semiconductor substrate having bitlines under a charge-trapping material over a core region and a gate insulator material over a periphery region. A wordline-gate material, a hard mask, and a first photoresist are deposited and patterned over the core region while covering the periphery region. After removing the first photoresist, wordlines are formed from the wordline-gate material in the core region. An anti-reflective coating and a second photoresist are deposited and patterned over the periphery region and covering the core region. The anti-reflective coating is removable without damaging the charge-trapping material. After removing the second photoresist and the anti-reflective coating, gates are formed from the wordline-gate material in the periphery region and the integrated circuit completed.
    Type: Grant
    Filed: April 19, 2002
    Date of Patent: April 13, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark T. Ramsbey, Kouros Ghandehari, Tazrien Kamal, Jean Y. Yang, Emmanuil Lingunis, Hidehiko Shiraiwa
  • Publication number: 20040067441
    Abstract: An improved light attenuating compound for use in the production of microdevices is provided. Broadly, the light attenuating compound is non-aromatic and can be directly incorporated (either physically or chemically) into photolithographic compositions such as bottom anti-reflective coatings (BARC) and contact or via hole fill materials. The preferred non-aromatic compounds of the invention are conjugated aliphatic and alicyclic compounds which greatly enhance the plasma etch rate of the composition. Furthermore, the light attenuating compounds are useful for absorbing light at shorter wavelengths. In one embodiment, the inventive compounds can be polymerized so as to serve as both the polymer binder of the composition as well as the light absorbing constituent.
    Type: Application
    Filed: October 20, 2003
    Publication date: April 8, 2004
    Inventors: Xie Shao, Robert Cox, Shreeram V. Deshpande, Tony D. Flaim, Rama Puligadda
  • Publication number: 20040063008
    Abstract: A method of determining overlay layers utilizing advanced lithographic materials utilizes a post-etch overlay metrology. After etching, a relatively opaque layer is removed so that registration markers such as trench isolation structures can be observed. Lithographic parameters associated with the process can be adjusted in accordance with the observations. In a preferred embodiment, an overlay error is determined and adjustments are made to the reduce the overlay error.
    Type: Application
    Filed: September 26, 2002
    Publication date: April 1, 2004
    Applicant: Advanced Micro Devices, Inc.
    Inventors: Cyrus E. Tabery, Christopher F. Lyons, Srikanteswara Dakshina-Murthy
  • Publication number: 20040063001
    Abstract: A wafer (18) is made using a mask (14) that has a quartz substrate (15) and a patterned stack (32) for providing a mask pattern. The patterned stack comprises an opaque layer (36) between two ARC layers (34, 38). The patterned stack reduces flare, which in turn improves critical dimension (CD) control. The stack reduces the reflections that come from the interface between the opaque layer (36) and quartz substrate (15). This stack also absorbs the reflections that come back from the direction of the wafer. The opaque layer (36) is silicon, which is opaque at wavelengths below 300 nanometers, and the ARC layers are non-stoichiometric silicon nitride.
    Type: Application
    Filed: September 30, 2002
    Publication date: April 1, 2004
    Inventors: Wei E. Wu, Sergei V. Postnikov
  • Patent number: 6713234
    Abstract: Techniques are disclosed for fabricating a device using a photolithographic process. The method includes providing a first anti-reflective coating over a surface of a substrate. A layer which is transparent to a wavelength of light used during the photolithographic process is provided over the first anti-reflective coating, and a photosensitive material is provided above the transparent layer. The photosensitive material is exposed to a source of radiation including the wavelength of light. Preferably, the first anti-reflective coating extends beneath substantially the entire transparent layer. The complex refractive index of the first anti-reflective coating can be selected to maximize the absorption at the first anti-reflective coating to reduce notching of the photosensitive material.
    Type: Grant
    Filed: February 18, 1999
    Date of Patent: March 30, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Zhiping Yin
  • Publication number: 20040058278
    Abstract: A method for forming an anti-reflective coating on a semiconductor substrate, including providing a first vessel containing an anti-reflective coating component and a second vessel containing a solvent. The anti-reflective coating component from the first vessel and the solvent from the second vessel are supplied to a mixing chamber. The anti-reflective coating component and the solvent are mixed in the mixing chamber to form a product. The product is transferred to the semiconductor substrate. The product is applied to the semiconductor substrate to form the anti-reflective coating. A system for forming an anti-reflective coating on a semiconductor substrate, including a first vessel for containing an anti-reflective coating component and a second vessel for containing a solvent.
    Type: Application
    Filed: April 28, 2003
    Publication date: March 25, 2004
    Applicants: Infineon Technologies Richmond, LP, Brewer Science Incorporated
    Inventors: William Roberts, Marlene Strobl, Paul Williams, Douglas J. Guerrero, Alice F. Martin
  • Publication number: 20040048194
    Abstract: A tunable dielectric antireflective layer for use in photolithographic applications, and specifically, for use in an image transfer processing. The tunable dielectric antireflective layer provides a spin-on-glass (SOG) material that can act as both a hardmask and a deep UV antireflective layer (BARC). One such material is titanium oxide generated by spin-coating a titanium alkanate and curing the film by heat or electron beam. The material can be “tuned” to match index of refraction (n) with the index of refraction for the photoresist and also maintain a high absorbency value, k, at a specified wavelength. A unique character of the tunable dielectric antireflective layer is that the BARC/hardmask layer allows image transfer with deep ultraviolet photoresist.
    Type: Application
    Filed: September 11, 2002
    Publication date: March 11, 2004
    Applicant: International Business Machines Corporation
    Inventors: Gregory Breyta, Mark W. Hart, William D. Hinsberg, Alfred F. Renaldo
  • Patent number: 6703169
    Abstract: One principal embodiment of the disclosure pertains to a method of optically fabricating a photomask using a direct write continuous wave laser, comprising a series of steps including: applying an organic antireflection coating over a surface of a photomask which includes a chrome-containing layer; applying a chemically-amplified DUV photoresist over the organic antireflection coating; post apply baking the DUV photoresist over a specific temperature range; exposing a surface of the DUV photoresist to the direct write continuous wave laser; and, post exposure baking the imaged DUV photoresist over a specific temperature range. The direct write continuous wave laser preferably operates at a wavelength of 244 nm or 257 nm. In an alternative embodiment, the organic antireflection coating may be applied over an inorganic antireflection coating which overlies the chrome containing layer.
    Type: Grant
    Filed: July 23, 2001
    Date of Patent: March 9, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Scott Fuller, Melvin W. Montgomery, Jeffrey A. Albelo, Alex Buxbaum
  • Publication number: 20040043333
    Abstract: A technique for etching with a single layered patterned photomask at wavelengths of 193 nanometers or less. Specifically, a method for etching a bottom anti-reflectant coating layer that utilizes a combination of CF4, CH2F2, and O2 to produce a stabilized pattern in the photoresist layer. The etching process results in a structure with a defined pattern having minimal defects and that maintains integrity through the remainder of the etching.
    Type: Application
    Filed: August 29, 2002
    Publication date: March 4, 2004
    Inventor: David J. Keller
  • Publication number: 20040043310
    Abstract: A processing method for selectively reducing or removing the region to be exposed with energy ray in a film formed on a substrate, comprising relatively scanning a first exposure light whose shape on the substrate is smaller than the whole first region to be exposed against the whole first region to be exposed to selectively remove or reduce the first region to be exposed, and exposing a whole second region to be exposed inside the whole first region to be exposed with a second exposure light to selectively expose the whole second region to be exposed.
    Type: Application
    Filed: May 14, 2003
    Publication date: March 4, 2004
    Inventors: Tomoyuki Takeishi, Kenji Kawano, Hiroshi Ikegami, Shinichi Ito, Riichiro Takahashi
  • Patent number: 6699644
    Abstract: The present invention provides a method for reducing or eliminating a poor pattern formation on a photoresist film by contacting the photoresist film with an alkaline solution prior to its exposure to light. Methods of the present invention significantly reduce or prevent T-topping and top-loss.
    Type: Grant
    Filed: August 30, 2000
    Date of Patent: March 2, 2004
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Geun Su Lee, Hyeong Soo Kim, Jin Soo Kim, Cha Won Koh, Sung Eun Hong, Jae Chang Jung, Min Ho Jung, Ki Ho Baik
  • Publication number: 20040033445
    Abstract: An organic anti-reflective coating (ARC) is formed over a surface of a semiconductor substrate, and a resist layer including a photosensitive polymer is formed on the ARC. The photoresistive polymer contains a hydroxy group. The resist layer is then subjected to exposure and development to form a resist pattern. The resist pattern to then silylated to a given depth by exposing a surface of the resist pattern to a vapor phase organic silane mixture of a first organic silane compound having a functional group capable of reacting with the hydroxy group of the photoresistive polymer, and a second organic silane compound having two functional groups capable of reacting with the hydroxy group of the photoresistive polymer Then, the silylated resist pattern is thermally treated, and the organic ARC is anisotropically etched using the thermally treated resist pattern as an etching mask.
    Type: Application
    Filed: February 28, 2003
    Publication date: February 19, 2004
    Inventors: Sung-Ho Lee, Sang-Gyun Woo, Yun-Sook Chae, Ji-Soo Kim
  • Patent number: 6692892
    Abstract: A resist pattern having a good form without any T-top or round top is obtained by coating on a photoresist layer an anti-reflective coating composition containing at least (a) polyacrylic acid, (b) polyvinyl pyrrolidone, (c) CnF2n+1COOOH (wherein n represents an integer of 3 to 11) and (d) tetramethylammonium hydroxide to form an anti-reflective coating, and conducting patternwise exposure and development.
    Type: Grant
    Filed: July 10, 2001
    Date of Patent: February 17, 2004
    Assignee: Clariant Finance (BVI) Limited
    Inventors: Yusuke Takano, Hatsuyuki Tanaka, Dong Han Lee
  • Publication number: 20040029052
    Abstract: Provided is a method of forming a fine pattern, in which a silicon oxide layer is formed on a photoresist pattern and dry etching is performed on the resultant structure. According to the method, a photoresist pattern is formed on a material layer on which a fine pattern is to be formed, a silicon oxide layer is conformally deposited on the photoresist pattern without damaging the photoresist pattern, and dry etching is performed on a lower layer. During the dry etching, spacers are formed along the sidewalls of the photoresist pattern, and then, a polymer layer is formed on the photoresist pattern. Accordingly, it is possible to prevent the thinning of the photoresist pattern so that a desired pattern can be obtained, and further, to prevent striation or wiggling from occurring on the patterned material layer.
    Type: Application
    Filed: June 3, 2003
    Publication date: February 12, 2004
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Jae-eun Park, Kang-soo Chu, Joo-won Lee, Jong-ho Yang
  • Publication number: 20040018452
    Abstract: A device, method, and system for treating low-k dielectric material films to reduce damage during microelectronic component cleaning processes is disclosed. The current invention cleans porous low-k dielectric material films in a highly selectivity with minimal dielectric material damage by first treating microelectronic components to a passivating process followed by a cleaning solution process.
    Type: Application
    Filed: April 11, 2003
    Publication date: January 29, 2004
    Inventor: Paul Schilling
  • Publication number: 20040018451
    Abstract: An organic bottom antireflective composition containing an aromatic polymer compound, a thermal cross-linking agent, and an organic solvent is provided. The aromatic polymer compound has a functional group that absorbs exposure light of a short wavelength of less than about 248 nm and is thermally cross-linkable and de-crosslinkable by acid hydrolysis. The thermal cross-linking agent causes a thermal cross-linking reaction by reacting with the functional group of the aromatic polymer compound. The organic bottom antireflective composition is soluble in a photoresist developer. When the organic bottom antireflective composition is-applied to a photolithography and etching process, a layer formed of the organic bottom antireflective composition can be developed together with a photoresist layer into a pattern in a development process following photoresist exposure and baking processes.
    Type: Application
    Filed: March 26, 2003
    Publication date: January 29, 2004
    Applicant: Samsung Electronics Co., Ltd.
    Inventor: Sang-Jun Choi
  • Publication number: 20040018346
    Abstract: The present disclosure relates to an organic anti-reflective coating composition and a method for forming photoresist patterns using the same. The anti-reflective coating compositions are useful for preventing reflection of a lower film layer or a substrate of a photoresist film, reducing standing waves caused by light and variations in the thickness of the photoresist itself, and increasing the uniformity of the photoresist patterns. More particularly, the present invention relates to an organic anti-reflective coating composition comprising particular organo-silicon based polymers and a method for forming photoresist patterns using the same. The organic anti-reflective coating composition can prevent excessive absorbency of an anti-reflective film formed therefrom and, thus, minimize the reflectivity of the film so that it can efficiently remove standing waves and increase the uniformity of the photoresist pattern.
    Type: Application
    Filed: July 14, 2003
    Publication date: January 29, 2004
    Inventors: Jae-Chang Jung, Ki-Soo Shin
  • Publication number: 20040013981
    Abstract: Within a method for fabricating a microelectronic fabrication there is employed a patterned positive photoresist residue layer as a protective layer within an aperture when processing an upper region of a topographic microelectronic layer having formed therein the aperture. The patterned positive photoresist residue layer is formed employing an incomplete vertical, but complete horizontal, blanket photoexposure and development of a blanket positive photoresist layer formed upon the topographic microelectronic layer and filling the aperture. The method provides the microelectronic fabrication with enhanced reliability.
    Type: Application
    Filed: July 16, 2002
    Publication date: January 22, 2004
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yong-Shun Liao, Juing-YI Wu, Dian-Hau Chen, Zhen-Cheng Chou
  • Publication number: 20040009436
    Abstract: A Si-containing water-soluble polymer layer is formed on a resist pattern, and contacting portions of the resist pattern and the Si-containing water-soluble polymer layer are reacted to form Si-containing material layers. Thereafter, the portions of the Si-containing water-soluble polymer layer, which have not reacted with the resist pattern, are removed using deionized water so that Si-containing material layers encompassing the resist pattern remain. Since such Si-containing material layers improve the etching resistance and the thickness of the resist pattern, the semiconductor material having a step difference can be etched. In addition, a CD of the adjacent resist pattern can be increased. Furthermore, since an etching resistance against an electron-beam improves, the shrinkage of the CD when measuring the CD using an in-line scanning electron microscope (ILS) is prevented so that the CD can be maintained.
    Type: Application
    Filed: March 18, 2003
    Publication date: January 15, 2004
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Si-hyeung Lee, Jung-hyeon Lee
  • Publication number: 20040009434
    Abstract: A photolithographic process that involves building a sandwich photoresist structure. A first photoresist layer is formed over a substrate. An anti-reflection layer is formed over the first photoresist layer. A second photoresist layer is formed over the anti-reflection layer. A first photo-exposure is conducted and the exposed second photoresist layer is developed to pattern the second photoresist layer and the anti-reflection layer. Using the second photoresist layer and the anti-reflection layer as a mask, a second photo-exposure and a second photoresist development are conducted to pattern the first photoresist layer.
    Type: Application
    Filed: August 2, 2002
    Publication date: January 15, 2004
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Benjamin Szu-Min Lin, Vencent Chang, George Liu, Cheng-Chung Chen
  • Patent number: 6670097
    Abstract: The invention relates to a radiation-sensitive recording material for the production of offset printing plates having a dimensionally stable support, a radiation-sensitive layer located on the front of the support, and a layer which comprises an organic polymeric material having a glass transition temperature of 35° C. or above and in which pigment particles are embedded and which is resistant to processing chemicals and is located on the back of the support. The pigment particles are preferably silica gel particles having a mean diameter of from 0.1 to 50 &mgr;m or organic particles having a mean diameter of from 3 to 10 &mgr;m. The image layer on the front may be matted or pigmented. The back coating enables the recording material to be stacked without separating paper. The image layer located on the front is not scratched by the pigmented back coating during storage and transport and during removal from the stack.
    Type: Grant
    Filed: June 19, 2001
    Date of Patent: December 30, 2003
    Assignee: Agfa-Gevaert
    Inventors: Steffen Denzinger, Michael Dörr, Andreas Elsässer, Günther Hultzsch, Peter Lehmann