Plural Doping Steps Patents (Class 438/231)
  • Patent number: 7935592
    Abstract: In a case of using a silicon nitride film as an offset spacer for forming an extension region of a transistor, an oxide protective surface is formed by oxygen plasma processing on the surface of the silicon nitride film.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: May 3, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Takashi Watanabe
  • Patent number: 7927954
    Abstract: A method for fabricating strained-silicon transistors is disclosed. First, a semiconductor substrate is provided and a gate structure and a spacer surrounding the gate structure are disposed on the semiconductor substrate. A source/drain region is then formed in the semiconductor substrate around the spacer, and a first rapid thermal annealing process is performed to activate the dopants within the source/drain region. An etching process is performed to form a recess around the gate structure and a selective epitaxial growth process is performed to form an epitaxial layer in the recess. A second rapid thermal annealing process is performed to redefine the distribution of the dopants within the source/drain region and repair the damaged bonds of the dopants.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: April 19, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Shyh-Fann Ting, Cheng-Tung Huang, Li-Shian Jeng, Kun-Hsien Lee, Wen-Han Hung, Tzyy-Ming Cheng, Meng-Yi Wu, Tsai-Fu Hsiao, Shu-Yen Chan
  • Patent number: 7923321
    Abstract: A method is provided for fabricating a semiconductor device that includes providing a semiconductor substrate having a first region and a second region, forming a high-k dielectric layer over the substrate, forming a silicon layer over the high-k dielectric layer, forming a hard mask layer over the silicon layer, patterning the hard mask layer, silicon layer, and high-k dielectric layer to form first and second gate structures over the first and second regions, respectively, forming a contact etch stop layer (CESL) over the first and second gate structures, modifying a profile of the CESL by an etching process, forming an inter-layer dielectric (ILD) over the modified CESL, performing a chemical mechanical polishing (CMP) on the ILD to expose the silicon layer of the first and second gate structures, respectively, and removing the silicon layer from the first and second gate structures, respectively, and replacing it with metal gate structures.
    Type: Grant
    Filed: June 19, 2009
    Date of Patent: April 12, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Su-Chen Lai, Kong-Beng Thei, Harry Chuang, Gary Shen
  • Publication number: 20110070703
    Abstract: An integrated process flow for forming an NMOS transistor (104) and an embedded SiGe (eSiGe) PMOS transistor (102) using a stress memorization technique (SMT) layer (126). The SMT layer (126) is deposited over both the NMOS transistor (104) and PMOS transistor (102). The portion of SMT layer (126) over PMOS transistor (102) is anisotropically etched to form spacers (128) without etching the portion of SMT layer (126) over NMOS transistor (104). Spacers (128) are used to align the SiGe recess etch and growth to form SiGe source/drain regions (132). The source/drain anneals are performed after etching the SMT layer (126) such that SMT layer (126) provides the desired stress to the NMOS transistor (104) without degrading PMOS transistor (102).
    Type: Application
    Filed: August 28, 2009
    Publication date: March 24, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Weize Xiong, Zhiqiang Wu, Xin Wang
  • Publication number: 20110059584
    Abstract: A constant distance can be maintained between source/drain regions without providing a gate side wall by forming a gate electrode including an eaves structure, and a uniform dopant concentration is kept within a semiconductor by ion implantation. As a result, a FinFET excellent in element properties and operation properties can be obtained. A field effect transistor, wherein a gate structure body is a protrusion that protrudes toward source and drain regions sides in a channel length direction and has a channel length direction width larger than that of the part adjacent to the insulating film in a gate electrode, and the protrusion includes an eaves structure formed by the protrusion that extends in a gate electrode extending direction on the top surface of the semiconductor layer.
    Type: Application
    Filed: November 15, 2010
    Publication date: March 10, 2011
    Applicant: NEC CORPORATION
    Inventors: Kiyoshi TAKEUCHI, Katsuhiko Tanaka
  • Patent number: 7902020
    Abstract: A semiconductor device includes a first conductivity-type deep well formed in a substrate, a plurality of device isolation layers formed in the substrate in which the first conductivity-type deep well is formed, a second conductivity-type well formed on a portion of the first conductivity-type deep well between two of the device isolation layers, a first gate pattern formed over a portion of the second conductivity-type well, a second gate pattern formed over one of the device isolation layers, a source region formed in an upper surface of the second conductivity-type well to adjoin a first side of the first gate pattern, a first drain region formed to include the interface between an upper surface of the second conductivity-type well adjoining a second side of the first gate pattern and an upper surface of the first conductivity-type deep well adjoining the second side of the first gate pattern, and a second drain region formed in an upper surface of the first conductivity-type deep well to be spaced from th
    Type: Grant
    Filed: October 1, 2009
    Date of Patent: March 8, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Il-Yong Park
  • Publication number: 20110049643
    Abstract: According to one embodiment, a method of manufacturing a semiconductor device including forming a gate structure includes a metal gate electrode on a semiconductor substrate, forming two first sidewalls of an insulating material on both side surfaces of the gate structure, introducing impurity into the semiconductor substrate using the first sidewalls as a mask, and forming two extension regions of a first conductivity type and two halo regions of a second conductivity type deeper than the extension regions in the semiconductor substrate, forming two recess regions on the semiconductor substrate by etching the semiconductor substrate using the first sidewalls as a mask, forming SiGe layers in the recess regions, forming two second sidewalls of an insulating material on side surfaces of the first sidewalls, and dry etching the mask layer.
    Type: Application
    Filed: August 30, 2010
    Publication date: March 3, 2011
    Inventor: Misa MATSUOKA
  • Patent number: 7897451
    Abstract: By selectively applying a stress memorization technique to N-channel transistors, a significant improvement of transistor performance may be achieved. High selectivity in applying the stress memorization approach may be accomplished by substantially maintaining the crystalline state of the P-channel transistors while annealing the N-channel transistors in the presence of an appropriate material layer which may not to be patterned prior to the anneal process, thereby avoiding additional lithography and masking steps.
    Type: Grant
    Filed: May 20, 2008
    Date of Patent: March 1, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Maciej Wiatr, Casey Scott, Andreas Gehring, Peter Javorka, Andy Wei
  • Publication number: 20110042729
    Abstract: The present disclosure provides a method of fabricating a semiconductor device that includes providing a semiconductor substrate, forming a gate structure over the substrate, forming a material layer over the substrate and the gate structure, implanting Ge, C, P, F, or B in the material layer, removing portions of the material layer overlying the substrate at either side of the gate structure, forming recesses in the substrate at either side of the gate structure, and depositing a semiconductor material in the recesses by an expitaxy process.
    Type: Application
    Filed: August 21, 2009
    Publication date: February 24, 2011
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuan-Yu Chen, Hsien-Hsin Lin, Chun-Feng Nieh, Hsueh-Chang Sung, Chien-Chang Su, Tsz-Mei Kwok
  • Patent number: 7888194
    Abstract: A method of fabricating a complementary metal oxide semiconductor (CMOS) device is provided. A first conductive type MOS transistor including a source/drain region using a semiconductor compound as major material is formed in a first region of a substrate. A second conductive type MOS transistor is formed in a second region of the substrate. Next, a pre-amorphous implantation (PAI) process is performed to amorphize a gate conductive layer of the second conductive type MOS transistor. Thereafter, a stress-transfer-scheme (STS) is formed on the substrate in the second region to generate a stress in the gate conductive layer. Afterwards, a rapid thermal annealing (RTA) process is performed to activate the dopants in the source/drain region. Then, the STS is removed.
    Type: Grant
    Filed: March 5, 2007
    Date of Patent: February 15, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Li-Shian Jeng, Cheng-Tung Huang, Shyh-Fann Ting, Wen-Han Hung, Kun-Hsien Lee, Meng-Yi Wu, Tzyy-Ming Cheng
  • Patent number: 7888198
    Abstract: An improved source/drain junction configuration in a metal-oxide semiconductor transistor is provided, as well as a novel method for fabricating this junction. This configuration employs gate double sidewall spacers in the peripheral region and gate single sidewall spacers in the cell array region. The double sidewall spacers are advantageously formed to suppress the short channel effect, to prevent current leakage, and to reduce sheet resistance. The insulating layer used to form the second spacers in the peripheral region remains in the cell array region and serves as an etching stopper during the etching step of interlayer insulating layer for contact opening formation and also serves as a barrier layer during the step of silicidation formation. As a result the fabrication process of the resulting device is simplified.
    Type: Grant
    Filed: May 18, 1999
    Date of Patent: February 15, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Won-Suk Yang, Ki-Nam Kim, Chang-Hyun Cho
  • Publication number: 20110027952
    Abstract: A growth mask provided for the deposition of a threshold adjusting semiconductor alloy may be formed on the basis of a deposition process, thereby obtaining superior thickness uniformity. Consequently, P-channel transistors and N-channel transistors with an advanced high-k metal gate stack may be formed with superior uniformity.
    Type: Application
    Filed: July 23, 2010
    Publication date: February 3, 2011
    Inventors: Stephan Kronholz, Carsten Reichel, Annekathrin Zeun, Thorsten Kammler
  • Patent number: 7880173
    Abstract: A semiconductor device and a method of manufacturing the device using a (000-1)-faced silicon carbide substrate are provided. A SiC semiconductor device having a high blocking voltage and high channel mobility is manufactured by optimizing the heat-treatment method used following the gate oxidation. The method of manufacturing a semiconductor device includes the steps of forming a gate insulation layer on a semiconductor region formed of silicon carbide having a (000-1) face orientation, forming a gate electrode on the gate insulation layer, forming an electrode on the semiconductor region, cleaning the semiconductor region surface. The gate insulation layer is formed in an atmosphere containing 1% or more H2O (water) vapor at a temperature of from 800° C. to 1150° C. to reduce the interface trap density of the interface between the gate insulation layer and the semiconductor region.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: February 1, 2011
    Assignee: National Institute of Advanced Industrial Science and Technology
    Inventors: Kenji Fukuda, Junji Senzaki, Shinsuke Harada, Makoto Kato, Tsutomu Yatsuo, Mitsuo Okamoto
  • Publication number: 20110012197
    Abstract: A method of fabricating transistors includes: providing a substrate including an N-type well and P-type well; forming a first gate on the N-type well and a second gate on the P-type well, respectively; forming a third spacer on the first gate; forming an epitaxial layer in the substrate at two sides of the first gate; forming a fourth spacer on the second gate; forming a silicon cap layer covering the surface of the epitaxial layer and the surface of the substrate at two sides of the fourth spacer; and forming a first source/drain doping region and a second source/drain doping region at two sides of the first gate and the second gate respectively.
    Type: Application
    Filed: July 14, 2009
    Publication date: January 20, 2011
    Inventors: Wen-Han Hung, Tsai-Fu Chen, Shyh-Fann Ting, Cheng-Tung Huang, Kun-Hsien Lee, Ta-Kang Lo, Tzyy-Ming Cheng
  • Publication number: 20110003445
    Abstract: A manufacturing method of a semiconductor device is provided which can uniformly form a good and thin silicon oxide film or the like at a relatively low temperature. In step 1, a semiconductor substrate is exposed to monosilane (SiH4). Then, in step 2, the remaining monosilane (SiH4) is emitted. In step 3, the semiconductor substrate is exposed to nitrous oxide plasma. A desired silicon oxide film is formed by repeating one cycle including steps 1 to 3 until a necessary thickness of the film is obtained.
    Type: Application
    Filed: June 30, 2010
    Publication date: January 6, 2011
    Inventors: Tatsunori MURATA, Yoshihiro Miyagawa
  • Patent number: 7863123
    Abstract: A low resistance contact is formed to a metal gate or a transistor including a High-? gate dielectric in a high integration density integrated circuit by applying a liner over a gate stack, applying a fill material between the gate stacks, planarizing the fill material to support high-resolution lithography, etching the fill material and the liner selectively to each other to form vias and filling the vias with a metal, metal alloy or conductive metal compound such as titanium nitride.
    Type: Grant
    Filed: January 19, 2009
    Date of Patent: January 4, 2011
    Assignee: International Business Machines Corporation
    Inventors: Huiming Bu, Michael P. Chudzik, Ricardo A. Donaton, Naim Moumen, Hongwen Yan
  • Patent number: 7842582
    Abstract: A method of forming semiconductor devices includes providing a semiconductor substrate in which gate insulating patterns and first conductive patterns are formed, performing a first etch process to narrow a width of each of the first conductive patterns, forming an auxiliary layer on the first conductive patterns, the gate insulating patterns, and an exposed surface of the semiconductor substrate, and forming trenches by etching the auxiliary layer and the semiconductor substrate between the first conductive patterns.
    Type: Grant
    Filed: May 19, 2009
    Date of Patent: November 30, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Soo Jin Kim
  • Patent number: 7834389
    Abstract: Provided is a semiconductor device including a substrate. A gate formed on the substrate. The gate includes a sidewall. A spacer formed on the substrate and adjacent the sidewall of the gate. The spacer has a substantially triangular geometry. A contact etch stop layer (CESL) is formed on the first gate and the first spacer. The thickness of the CESL to the width of the first spacer is between approximately 0.625 and 16.
    Type: Grant
    Filed: June 15, 2007
    Date of Patent: November 16, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Lien Huang, Yi-Chen Huang, Jim Cy Huang, Weng Chang, Hun-Jan Tao
  • Publication number: 20100285641
    Abstract: A mask read-only memory (ROM) device, which can stably output data, includes an on-cell and an off-cell. The on-cell includes an on-cell gate structure on a substrate and an on-cell junction structure within the substrate. The off-cell includes an off-cell gate structure on the substrate and an off-cell junction structure within the substrate. The on-cell gate structure includes an on-cell gate insulating film, an on-cell gate electrode and an on-cell gate spacer. The on-cell junction structure includes first and second on-cell ion implantation regions of a first polarity and third and fourth on-cell ion implantation regions of a second polarity. The off-cell gate structure includes an off-cell gate insulating film, an off-cell gate electrode and an off-cell gate spacer. The off-cell junction structure includes first and second off-cell ion implantation regions of the first polarity and a third off-cell ion implantation region of the second polarity.
    Type: Application
    Filed: July 14, 2010
    Publication date: November 11, 2010
    Inventors: Yong-Kyu Lee, Jeong-Uk Han, Hee-Seog Jeon, Young-Ho Kim, Myung-Jo Chun, Jung-Ho Moon
  • Patent number: 7829942
    Abstract: A first transfer transistor includes a first diffusion layer connected to a first bit line, and a second diffusion layer connected to a first storage node, the first diffusion layer is provided in a substrate, the second diffusion layer is provided in a bottom part of a recess provided in the substrate, a channel region of the first transfer transistor is offset with respect to the second diffusion layer toward the first storage node, and the offset part functions as a resistor.
    Type: Grant
    Filed: January 17, 2008
    Date of Patent: November 9, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsushi Kawasumi, Tetsu Morooka
  • Patent number: 7821044
    Abstract: Embodiments are an improved transistor structure and the method of fabricating the structure. In particular, a wet etch of an embodiment forms source and drain regions with an improved tip shape to improve the performance of the transistor by improving control of short channel effects, increasing the saturation current, improving control of the metallurgical gate length, increasing carrier mobility, and decreasing contact resistance at the interface between the source and drain and the silicide.
    Type: Grant
    Filed: January 15, 2008
    Date of Patent: October 26, 2010
    Assignee: Intel Corporation
    Inventors: Mark T. Bohr, Steven J. Keating, Thomas A. Letson, Anand S. Murthy, Donald W. O'Neill, Willy Rachmady
  • Publication number: 20100237431
    Abstract: By recessing portions of the drain and source areas on the basis of a spacer structure, the subsequent implantation process for forming the deep drain and source regions may result in a moderately high dopant concentration extending down to the buried insulating layer of an SOI transistor. Furthermore, the spacer structure maintains a significant amount of a strained semiconductor alloy with its original thickness, thereby providing an efficient strain-inducing mechanism. By using sophisticated anneal techniques, undue lateral diffusion may be avoided, thereby allowing a reduction of the lateral width of the respective spacers and thus a reduction of the length of the transistor devices. Hence, enhanced charge carrier mobility in combination with reduced junction capacitance may be accomplished on the basis of reduced lateral dimensions.
    Type: Application
    Filed: June 1, 2010
    Publication date: September 23, 2010
    Inventors: Thomas Feudel, Markus Lenski, Andreas Gehring
  • Publication number: 20100233861
    Abstract: A method is provided for manufacturing a solid-state imaging device including a semiconductor substrate having a photoelectric conversion portion, a pixel transistor region and a logic circuit region. The method includes the steps of forming a first gate electrode on the semiconductor substrate with a first gate insulating film therebetween, a second gate electrode in the pixel transistor region on the semiconductor substrate with a second gate insulating film therebetween; forming a first insulating layer to cover the first gate electrode, the second gate electrode, a floating diffusion region where a floating diffusion portion is to be formed, and the photoelectric conversion portion; and forming an offset spacer on a sidewall of the first gate electrode by etch back of the first insulating layer in a state where the photoelectric conversion portion, the pixel transistor region and the floating diffusion region are masked.
    Type: Application
    Filed: March 4, 2010
    Publication date: September 16, 2010
    Applicant: SONY CORPORATION
    Inventors: Naohiko Kimizuka, Takuji Matsumoto
  • Patent number: 7795086
    Abstract: A method for manufacturing a semiconductor device using a salicide process, which includes forming a gate dielectric layer over a silicon substrate including a PMOS region and an NMOS region; forming a first silicon pattern in the NMOS region and a second silicon pattern in the PMOS region; forming a first metal layer that is in contact with the first silicon pattern and the exposed first portion of the silicon substrate; and forming a first gate, a first junction, a second gate, and a second junction by performing a heat treatment to silicify the respective first and second silicon patterns and the silicon substrate.
    Type: Grant
    Filed: December 30, 2008
    Date of Patent: September 14, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Young Jin Lee, Dong Sun Sheen, Seok Pyo Song, Mi Ri Lee, Chi Ho Kim, Gil Jae Park, Bo Min Seo
  • Patent number: 7795679
    Abstract: Device structures with a self-aligned damage layer and methods of forming such device structures. The device structure first and second doped regions of a first conductivity type defined in the semiconductor material of a substrate. A third doped region of opposite conductivity type laterally separates the first doped region from the second doped region. A gate structure is disposed on a top surface of the substrate and has a vertically stacked relationship with the third doped region. A first crystalline damage layer is defined within the semiconductor material of the substrate. The first crystalline damage layer has a first plurality of voids surrounded by the semiconductor material of the substrate. The first doped region is disposed vertically between the first crystalline damage layer and the top surface of the substrate. The first crystalline damage layer does not extend laterally into the third doped region.
    Type: Grant
    Filed: July 24, 2008
    Date of Patent: September 14, 2010
    Assignee: International Business Machines Corporation
    Inventors: Ethan H. Cannon, Fen Chen
  • Publication number: 20100224937
    Abstract: The disclosure provides a semiconductor device and method of manufacture therefore. The method for manufacturing the semiconductor device, in one embodiment, includes providing a substrate (210) having a PMOS device region (220) and NMOS device region (260). Thereafter, a first gate structure (240) and a second gate structure (280) are formed over the PMOS device region and the NMOS device region, respectively. Additionally, recessed epitaxial SiGe regions (710) may be formed in the substrate on opposing sides of the first gate structure. Moreover, first source/drain regions may be formed on opposing sides of the first gate structure and second source/drain regions on opposing sides of the second gate structure. The first source/drain regions and second source/drain regions may then be annealed to form activated first source/drain regions (1110) and activated second source/drain regions (1120), respectively.
    Type: Application
    Filed: May 19, 2008
    Publication date: September 9, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Seetharaman Sridhar
  • Patent number: 7785994
    Abstract: In the ion implantation method and semiconductor device manufacturing method relating to the present invention, a disc on which multiple semiconductor substrates are mounted is positioned in the manner that a first angle ?1 is made between an X-Y plane perpendicular to an ion beam and a line perpendicular to the Y-axis in a disc rotation plane. In this state, an ion beam is emitted to implant a first conductivity type impurity in the semiconductor substrates while the disc is rotated about a disc rotation axis. Then, the disc is positioned in the manner that a second angle ?2 is made between the X-Y plane and a line perpendicular to the Y-axis in the disc rotation plane. In this state, an ion beam is emitted to implant a second conductivity type impurity in the semiconductor substrates while the disc is rotated about the disc rotation axis.
    Type: Grant
    Filed: July 25, 2008
    Date of Patent: August 31, 2010
    Assignee: Panasonic Corporation
    Inventor: Hideki Okai
  • Publication number: 20100216288
    Abstract: A method of forming an integrated circuit device includes providing a semiconductor substrate; forming a gate structure on the semiconductor substrate; and performing a pre-amorphized implantation (PAI) by implanting a first element selected from a group consisting essentially of indium and antimony to a top portion of the semiconductor substrate adjacent to the gate structure. The method further includes, after the step of performing the PAI, implanting a second element different from the first element into the top portion of the semiconductor substrate. The second element includes a p-type element when the first element includes indium, and includes an n-type element when the first element includes antimony.
    Type: Application
    Filed: November 13, 2009
    Publication date: August 26, 2010
    Inventors: Yihang Chiu, Chu-Yun Fu
  • Patent number: 7781282
    Abstract: A shared contact structure, semiconductor device and method of fabricating the semiconductor device, in which the shared contact structure may include a gate electrode disposed on an active region of a substrate and including facing first and second sidewalls. The first sidewall may be covered with an insulating spacer. The source/drain regions may be formed within the active region adjacent the first sidewall, and provided on the opposite side of the second sidewall. A corner protection pattern may be formed adjacent the source/drain regions and the insulating spacer, and covered by an inter-layer dielectric. A shared contact plug may be formed through the inter-layer dielectric, to be in contact with the gate electrode, corner protection pattern and source/drain regions.
    Type: Grant
    Filed: March 17, 2006
    Date of Patent: August 24, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Abraham Yoo, Hee-Sung Kang, Heon-Jong Shin
  • Patent number: 7776680
    Abstract: Disclosed herein are embodiments of a method of forming a complementary metal oxide semiconductor (CMOS) device that has at least one high aspect ratio gate structure with a void-free and seam-free metal gate conductor layer positioned on top of a relatively thin high-k gate dielectric layer. These method embodiments incorporate a gate replacement strategy that uses an electroplating process to fill, from the bottom upward, a high-aspect ratio gate stack opening with a metal gate conductor layer. The source of electrons for the electroplating process is a current passed directly through the back side of the substrate. This eliminates the need for a seed layer and ensures that the metal gate conductor layer will be formed without voids or seams. Furthermore, depending upon the embodiment, the electroplating process is performed under illumination to enhance electron flow to a given area (i.e., to enhance plating) or in darkness to prevent electron flow to a given area (i.e., to prevent plating).
    Type: Grant
    Filed: January 3, 2008
    Date of Patent: August 17, 2010
    Assignee: International Business Machines Corporation
    Inventors: Veeraraghavan S. Basker, John M. Cotte, Hariklia Deligianni, Toshiharu Furukawa, Vamsi K. Paruchuri, William R. Tonti
  • Publication number: 20100197093
    Abstract: A method of manufacturing dual embedded epitaxially grown semiconductor transistors is provided, the method including depositing a first elongated oxide spacer over first and second transistors of different types, depositing a first elongated nitride spacer on the first oxide spacer, depositing a first photoresist block on the nitride spacer above the first transistor, etching the first nitride spacer above the second transistor, implanting a first halo around the second transistor, etching a first recess in an outer portion of the first halo, stripping the first photoresist above the first transistor, forming a first epitaxially grown semiconductor material in the first recess, implanting a first extension in a top portion of the first material, depositing an elongated blocking oxide over the first and second transistors and first extension, depositing a second photoresist block on the blocking oxide above the second transistor and first extension, etching the blocking oxide and first nitride spacer above th
    Type: Application
    Filed: February 5, 2009
    Publication date: August 5, 2010
    Applicants: Samsung Electronics Co., Ltd., International Business Machines Corporation
    Inventors: Jong Ho Yang, Jin-Ping Han, Chung Woh Lai, Henry Utomo
  • Patent number: 7750405
    Abstract: A method of fabricating a high-performance planar back-gate CMOS structure having superior short-channel characteristics and reduced capacitance using processing steps that are not too lengthy or costly is provided. Also provided is a high-performance planar back-gate CMOS structure that is formed utilizing the method of the present invention. The method includes forming an opening in an upper surface of a substrate. Thereafter, a dopant region is formed in the substrate through the opening. In accordance with the inventive method, the dopant region defines a back-gate conductor of the inventive structure. Next, a front gate conductor having at least a portion thereof is formed within the opening.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: July 6, 2010
    Assignee: International Business Machines Corporation
    Inventor: Edward J. Nowak
  • Patent number: 7736968
    Abstract: A method for forming a semiconductor structure includes providing a semiconductor substrate; forming a gate dielectric layer over the semiconductor substrate; forming a gate electrode layer over the gate dielectric layer; doping carbon and nitrogen into the gate electrode layer; and, after the step of doping carbon and nitrogen, patterning the gate dielectric layer and the gate electrode layer to form a gate dielectric and a gate electrode, respectively.
    Type: Grant
    Filed: October 27, 2008
    Date of Patent: June 15, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Keh-Chiang Ku, Cheng-Lung Hung, Li-Ting Wang, Chien-Hao Chen, Chien-Hao Huang, Wenli Lin, Yu-Chang Lin
  • Patent number: 7718494
    Abstract: A method for forming a high-voltage drain metal-oxide-semiconductor (HVD-MOS) device includes providing a semiconductor substrate; forming a well region of a first conductivity type; and forming an embedded well region in the semiconductor substrate and only on a drain side of the HVD-MOS device, wherein the embedded region is of a second conductivity type opposite the first conductivity type. The step of forming the embedded well region includes simultaneously doping the embedded well region and a well region of a core regular MOS device, and simultaneously doping the embedded well region and a well region of an I/O regular MOS device, wherein the core and I/O regular MOS devices are of the first conductivity type. The method further includes forming a gate stack extending from over the embedded well region to over the well region.
    Type: Grant
    Filed: April 9, 2007
    Date of Patent: May 18, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yung Chih Tsai, Michael Yu, Chih-Ping Chao, Chih-Sheng Chang
  • Patent number: 7713809
    Abstract: A method and structure for reducing dark current in an image sensor includes preventing unwanted electrons from being collected in the photosensitive region of the image sensor. In one embodiment, dark current is reduced by providing a deep n-type region having an n-type peripheral sidewall formed in a p-type substrate region underlying a pixel array region to separate the pixel array region from a peripheral circuitry region of the image sensor. The method and structure also provide improved protection from blooming.
    Type: Grant
    Filed: October 13, 2006
    Date of Patent: May 11, 2010
    Assignee: Aptina Imaging Corporation
    Inventors: Howard E. Rhodes, Steve Cole
  • Patent number: 7709365
    Abstract: A method for forming a CMOS well structure including forming a plurality of first conductivity type wells over a substrate, each of the plurality of first conductivity type wells formed in a respective opening in a first mask. A cap is formed over each of the first conductivity type wells, and the first mask is removed. Sidewall spacers are formed on sidewalls of each of the first conductivity type wells. A plurality of second conductivity type wells are formed, each of the plurality of second conductivity type wells are formed between respective first conductivity type wells. A plurality of shallow trench isolations are formed between the first conductivity type wells and second conductive type wells. The plurality of first conductivity type wells are formed by a first selective epitaxial growth process, and the plurality of second conductivity type wells are formed by a second selective epitaxial growth process.
    Type: Grant
    Filed: October 23, 2006
    Date of Patent: May 4, 2010
    Assignee: International Business Machines Corporation
    Inventors: Wilfried Haensch, Terence B. Hook, Louis C. Hsu, Rajiv V. Joshi, Werner Rausch
  • Patent number: 7696038
    Abstract: Methods for fabricating flash memory devices are provided. In accordance with an exemplary embodiment of the invention, a method for fabricating a memory device comprises forming a first gate stack and a second gate stack overlying a substrate. A trench is etched into the substrate between the first gate stack and the second gate stack. A first impurity doped region is formed within the substrate underlying the trench.
    Type: Grant
    Filed: April 26, 2006
    Date of Patent: April 13, 2010
    Assignee: Spansion LLC
    Inventors: Ning Cheng, Kuo-Tung Chang, Hiroyuki Kinoshita, Timothy Thurgate, Wei Zheng, Ashot Melik-Martirosian, Angela Hui, Chih-Yuh Yang
  • Patent number: 7696039
    Abstract: A method for fabricating a semiconductor device employing a selectivity poly deposition is disclosed. The disclosed method comprises depositing selectivity poly on a gate poly and source/drain regions of the silicon substrate, and forming salicide regions on the gate and active regions from the deposited selectivity poly. Accordingly, the present invention employing selectivity poly deposition can reduce or minimize contact surface resistance and improve the electrical characteristics of the semiconductor device by reducing the surface resistance in a miniature semiconductor device. In addition, because the size of the gate electrode is getting small, the present invention can be used as an essential part of the future generations of nano-scale technology. Moreover, mass semiconductor production systems can promptly employ the present invention with existing equipment.
    Type: Grant
    Filed: May 31, 2007
    Date of Patent: April 13, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Myung Jin Jung
  • Publication number: 20100084712
    Abstract: An integrated circuit (IC) and multi-spacer methods for forming the same includes at least one metal-oxide semiconductor (MOS) transistor including a substrate having a semiconductor surface, a gate stack formed in or on the surface comprising a gate electrode on a gate dielectric, wherein a channel region is located in said semiconductor surface below the gate dielectric. A spacer structure is on the sidewalls of the gate stack, wherein the spacer structure includes a first spacer and a second spacer positioned outward from the first spacer. A source and a drain region are on opposing sides of the gate stack each having a maximum C concentration?1×1017 cm?3. Source and drain extension (LDD) regions are positioned between the source and drain and the channel region.
    Type: Application
    Filed: October 3, 2008
    Publication date: April 8, 2010
    Inventor: Puneet Kohli
  • Publication number: 20100078654
    Abstract: A semiconductor device according to one embodiment includes: a first transistor comprising a first gate electrode formed on a semiconductor substrate via a first gate insulating film, a first channel region formed in the semiconductor substrate under the first gate insulating film, and first epitaxial crystal layers formed on both sides of the first channel region in the semiconductor substrate, the first epitaxial crystal layers comprising a first crystal; and a second transistor comprising a second gate electrode formed on the semiconductor substrate via a second gate insulating film, a second channel region formed in the semiconductor substrate under the second gate insulating film, second epitaxial crystal layers formed on both sides of the second channel region in the semiconductor substrate, and third epitaxial crystal layers formed on the second epitaxial crystal layers, the second epitaxial crystal layers comprising a second crystal, the third epitaxial crystal layers comprising the first crystal, the
    Type: Application
    Filed: September 14, 2009
    Publication date: April 1, 2010
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Shintaro OKAMOTO
  • Publication number: 20100065916
    Abstract: Disclosed are a semiconductor device and a method for manufacturing the same. The semiconductor device includes an isolation area formed on a semiconductor substrate to define NMOS and PMOS areas, a gate insulating layer and a gate formed on each of the NMOS and PMOS areas, a primary gate spacer formed at sides of the gate, LDD areas formed in the semiconductor substrate at sides of the gate, a secondary gate spacer formed at sides of the primary gate spacer, source and drain areas formed in the semiconductor substrate at sides of the gate of the PMOS area; and source and drain areas formed in the semiconductor substrate at sides of the gate of the NMOS area, wherein the source and drain areas of the NMOS area are deeper than the source and drain areas of the PMOS area.
    Type: Application
    Filed: August 13, 2009
    Publication date: March 18, 2010
    Inventor: EUN JONG SHIN
  • Publication number: 20100065910
    Abstract: A semiconductor device includes a first MISFET and a second MISFET, wherein the first MISFET includes a semiconductor substrate 100, a first gate insulating film 101a and a first gate electrode 102a formed on the first region of the semiconductor substrate, and first side walls (103a, 120a) formed on the side surface of the first gate electrode 102a, and the second MISFET includes a second gate insulating film 101b and a second gate electrode 102b formed on the second region of the semiconductor substrate 100, and second side walls (103b, 120b) formed on the side surface of the second gate electrode 102b. The width of the first side wall is smaller than the width of the second side wall, and the second side wall includes the second spacer 103b containing a higher concentration of hydrogen than the first spacer 103a.
    Type: Application
    Filed: November 19, 2009
    Publication date: March 18, 2010
    Applicant: PANASONIC CORPORATION
    Inventor: Shinji TAKEOKA
  • Publication number: 20100062576
    Abstract: A method for fabricating a complementary metal-oxide semiconductor (CMOS) image sensor includes providing a semi-finished substrate, forming a patterned blocking layer over a photodiode region of the substrate, implanting impurities on regions other than the photodiode region using a mask while the patterned blocking layer remains, and removing the mask.
    Type: Application
    Filed: November 16, 2009
    Publication date: March 11, 2010
    Inventor: Han-Seob Cha
  • Patent number: 7666736
    Abstract: After the implantation of fluorine ions into a semiconductor substrate, a gate insulating film, a gate electrode and a protective film are formed on the semiconductor substrate. Thereafter, fluorine ions are again implanted into the semiconductor substrate. Furthermore, p-type source/drain extension regions and source/drain regions are formed in the semiconductor substrate.
    Type: Grant
    Filed: November 3, 2005
    Date of Patent: February 23, 2010
    Assignee: Panasonic Corporation
    Inventor: Yoshihiro Satou
  • Patent number: 7659133
    Abstract: Disclosed is a method for manufacturing a CMOS image sensor, capable of preventing dopants implanted with high energy from penetrating into a lower part of a gate electrode when a photodiode is formed, thereby preventing current leakage of a transistor and variation of a threshold voltage. The method includes the steps of forming a gate electrode on a transistor area of a first conductive type semiconductor substrate including a photodiode area and the transistor area, forming a salicide layer on the gate electrode, and implanting second conductive type dopants for forming a photodiode in a photodiode area of the semiconductor substrate.
    Type: Grant
    Filed: December 26, 2006
    Date of Patent: February 9, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sung Moo Kim
  • Publication number: 20100019324
    Abstract: By ion-implanting an inert gas, for example, nitrogen into a polycrystalline silicon film in an nMIS forming region from an upper surface of the polycrystalline silicon film down to a predetermined depth, an upper portion of the polycrystalline silicon film is converted to an amorphous form to form an amorphous/polycrystalline silicon film. And then, an n-type impurity, for example, phosphorous is ion-implanted into the amorphous/polycrystalline silicon film to form an n-type amorphous/polycrystalline silicon film, the n-type amorphous/polycrystalline silicon film is processed to form a gate electrode having a gate length shorter than 0.1 ?m, a sidewall formed of an insulating film is formed on a side wall of the gate electrode, and a source/drain diffusion layer is formed. Thereafter, a cobalt silicide (CoSi2) layer is formed on an upper portion of the gate electrode by salicide technique.
    Type: Application
    Filed: December 22, 2006
    Publication date: January 28, 2010
    Inventors: Hiroyuki Ohara, Shino Takahashi, Kenji Kanamitsu, Shuji Matsuo
  • Patent number: 7645665
    Abstract: A method for manufacturing a semiconductor device has the steps of: (a) implanting boron (B) ions into a semiconductor substrate; (b) implanting fluorine (F) or nitrogen (N) ions into the semiconductor device; (c) after the steps (a) and (b) are performed, executing first annealing with a heating time of 100 msec or shorter relative to a region of the semiconductor substrate into which ions were implanted; and (d) after the step (c) is performed, executing second annealing with a heating time longer than the heating time of the first annealing, relative to the region of the semiconductor substrate into which ions were implanted. The method for manufacturing a semiconductor device is provided which can dope boron (B) shallowly and at a high concentration.
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: January 12, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Tomohiro Kubo, Kenichi Okabe, Tomonari Yamamoto
  • Patent number: 7645651
    Abstract: A method of forming a metal oxide semiconductor (MOS) device comprises defining an active area in an unstrained semiconductor layer structure, depositing a hard mask overlying the active area and a region outside of the active area, patterning the hard mask to expose the active area, selectively growing a strained semiconductor layer overlying the exposed active area, and forming a remainder of the MOS device. The active area includes a first doped region of first conductivity type and a second doped region of second conductivity type. The strained semiconductor layer provides a biaxially strained channel for the MOS device.
    Type: Grant
    Filed: December 6, 2007
    Date of Patent: January 12, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Xiaoqiu Huang, Veeraraghavan Dhandapani, Bich-Yen Nguyen, Amanda M. Kroll, Daniel T. Pham
  • Patent number: 7642187
    Abstract: A method of forming a wiring for a semiconductor memory device includes obtaining a semiconductor substrate, depositing at least one conductive layer on the semiconductor substrate under controlled conditions, such as substrate temperature and atmosphere temperature, to provide a conductive layer exhibiting a reduced surface roughness as compared to a comparable conductive layer deposited under uncontrolled conditions, and patterning the conductive layer to form a wiring.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: January 5, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Hyun Lee, Min-Soo Kim, Tae-Hoon Kim
  • Patent number: 7632728
    Abstract: A semiconductor device includes a substrate, a p-channel MIS transistor formed on an n-type well on the substrate, having a first gate dielectric and a first gate electrode formed thereon and formed of a Ta—C alloy wherein a crystal orientation ratio of a TaC (111) face in a film thickness direction [TaC (111) face/{TaC (111) face+TaC (200) face}] is 80% or more, and an n-channel MIS transistor formed on a p-type well on the substrate, having a second gate dielectric and a second gate electrode formed thereon and formed of a Ta—C alloy wherein a crystal orientation ratio of a TaC (111) face in a film thickness direction [TaC (111) face/{TaC (111) face+TaC (200) face}] is 60% or less.
    Type: Grant
    Filed: September 10, 2008
    Date of Patent: December 15, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masato Koyama, Reika Ichihara, Yoshinori Tsuchiya, Yuuichi Kamimuta, Akira Nishiyama