Source Or Drain Doping Patents (Class 438/301)
  • Patent number: 10243056
    Abstract: A semiconductor device includes a field insulating film including a first region and a second region on a substrate, a recess in the first region of the field insulating film, a gate electrode on the second region of the field insulating film, and a gate spacer along a sidewall of the gate electrode and a sidewall of the recess.
    Type: Grant
    Filed: April 5, 2017
    Date of Patent: March 26, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hyun Kwan Yu, Kyung Ho Kim, Dong Suk Shin
  • Patent number: 10164008
    Abstract: A manufacturing method of a semiconductor structure includes the following steps. A plurality of first trench isolations is formed, and at least a part of each of the first trench isolations is formed in a substrate. A plurality of second trench isolations is formed in the substrate after the step of forming the first trench isolations. Each of the first trench isolations is parallel with each of the second trench isolations. One of the second trench isolations is formed between two of the first trench isolations adjacent to each other, and a pitch between the first trench isolations is equal to a pitch between the second trench isolations. The semiconductor structure includes the substrate, the first trench isolations, and the second trench isolations. A material of the first trench isolations is different from a material of the second trench isolations.
    Type: Grant
    Filed: June 3, 2017
    Date of Patent: December 25, 2018
    Assignees: UNITED MICROELECTRONICS CORP., Fujian Jinhua Integrated Circuit Co., Ltd.
    Inventor: Yukihiro Nagai
  • Patent number: 10128114
    Abstract: A method of forming a metal-silicon contact is provided. Embodiments include forming a metal layer over a substrate; forming an amorphous silicon (a-Si) capping layer over the metal layer; implanting ions to induce an athermal migration of the a-Si capping layer into the metal layer; and annealing the metal layer and the a-Si capping layer to form a metal silicide layer over the substrate.
    Type: Grant
    Filed: October 5, 2015
    Date of Patent: November 13, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventor: Bartlomiej Jan Pawlak
  • Patent number: 10090395
    Abstract: An electrical device in which an interface layer is disposed in between and in contact with a conductor and a semiconductor.
    Type: Grant
    Filed: January 23, 2018
    Date of Patent: October 2, 2018
    Assignee: Acorn Technologies, Inc.
    Inventors: Daniel E. Grupp, Daniel J. Connelly
  • Patent number: 10041166
    Abstract: Metallic layers can be selectively deposited on one surface of a substrate relative to a second surface of the substrate. In some embodiments, the metallic layers are selectively deposited on a first metallic surface relative to a second surface comprising silicon. In some embodiments the reaction chamber in which the selective deposition occurs may optionally be passivated prior to carrying out the selective deposition process. In some embodiments selectivity of above about 50% or even about 90% is achieved.
    Type: Grant
    Filed: October 27, 2017
    Date of Patent: August 7, 2018
    Assignee: ASM IP Holding B.V.
    Inventors: Delphine Longrie, Antti Juhani Niskanen, Han Wang, Qi Xie, Jan Willem Maes, Shang Chen, Toshiharu Watarai, Takahiro Onuma, Dai Ishikawa, Kunitoshi Namba
  • Patent number: 9960040
    Abstract: In producing a MOS silicon carbide semiconductor device, after a first heat treatment (oxynitride) is performed in an oxidation atmosphere including nitrous oxide or nitric oxide, a second heat treatment including hydrogen is performed, whereby in the front surface of a SiC epitaxial substrate, a gate insulating film is formed. A gate electrode is formed and after an interlayer insulating film is formed, a third heat treatment is performed to bake the interlayer insulating film. After contact metal formation, a fourth heat treatment is performed to form a reactive layer of contact metal and the silicon carbide semiconductor. The third and fourth heat treatments are performed in an inert gas atmosphere of nitrogen, helium, argon, etc., and a manufacturing method of a silicon carbide semiconductor device is provided achieving a normally OFF characteristic and lowered interface state density.
    Type: Grant
    Filed: December 4, 2015
    Date of Patent: May 1, 2018
    Assignee: FUJI ELECTRIC CO., LTD.
    Inventors: Youichi Makifuchi, Mitsuo Okamoto
  • Patent number: 9954101
    Abstract: A semiconductor device is provided that includes a first of a source region and a drain region comprised of a first semiconductor material, wherein an etch stop layer of a second semiconductor material present within the first of the source region and the drain region. A channel semiconductor material is present atop the first of the source region and the drain region. A second of the source and the drain region is present atop the channel semiconductor material. The semiconductor device may be a vertically orientated fin field effect transistor or a vertically orientated tunnel field effect transistor.
    Type: Grant
    Filed: June 15, 2016
    Date of Patent: April 24, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Huiming Bu, Liying Jiang, Siyuranga O. Koswatta, Junli Wang
  • Patent number: 9871037
    Abstract: A shallow trench isolation (STI) structure is formed on a substrate. Part of the STI structure is removed to form a first fin structure and a second fin structure extending above a support structure on the substrate. A first part of the STI structure is located between the first fin structure and the second fin structure and has a first top surface higher than an interface between the first fin structure and the support structure. A second part of the STI structure is located adjacent to the first fin structure and has a second top surface lower than the interface between the first fin structure and the support structure. An etching process is performed to remove part of the first fin structure and the second fin structure. Part of the support structure adjacent to the second part of the STI structure is removed during the etching process.
    Type: Grant
    Filed: February 26, 2014
    Date of Patent: January 16, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Yu-Lien Huang, Chi-Kang Liu, Yung-Ta Li, Chun-Hsiang Fan, Tung-Ying Lee, Clement Hsing-Jen Wann
  • Patent number: 9865466
    Abstract: Implementations described herein generally relate to methods of selective deposition of metal silicides. More specifically, implementations described herein generally relate to methods of forming nickel silicide nanowires for semiconductor applications. In one implementation, a method of processing a substrate is provided. The method comprises forming a silicon-containing layer on a surface of a substrate, forming a metal-containing layer comprising a transition metal on the silicon-containing layer, forming a confinement layer on exposed surfaces of the metal-containing layer and annealing the substrate at a temperature of less than 400 degrees Celsius to form a metal silicide layer from the silicon-containing layer and the metal-containing layer, wherein the confinement layer inhibits formation of metal-rich metal silicide phases.
    Type: Grant
    Filed: August 18, 2016
    Date of Patent: January 9, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Bencherki Mebarki, Ellie Y. Yieh, Mehul B. Naik, Srinivas D. Nemani
  • Patent number: 9831090
    Abstract: A method of forming a semiconductor device includes providing a precursor. The precursor includes a substrate; a gate stack over the substrate; a first dielectric layer over the gate stack; a gate spacer on sidewalls of the gate stack and on sidewalls of the first dielectric layer; and source and drain (S/D) contacts on opposing sides of the gate stack. The method further includes recessing the gate spacer to at least partially expose the sidewalls of the first dielectric layer but not to expose the sidewalls of the gate stack. The method further includes forming a spacer protection layer over the gate spacer, the first dielectric layer, and the S/D contacts.
    Type: Grant
    Filed: August 19, 2015
    Date of Patent: November 28, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chih Wei Lu, Chung-Ju Lee, Hai-Ching Chen, Chien-Hua Huang, Tien-I Bao
  • Patent number: 9805974
    Abstract: Metallic layers can be selectively deposited on one surface of a substrate relative to a second surface of the substrate. In some embodiments, the metallic layers are selectively deposited on a first metallic surface relative to a second surface comprising silicon. In some embodiments the reaction chamber in which the selective deposition occurs may optionally be passivated prior to carrying out the selective deposition process. In some embodiments selectivity of above about 50% or even about 90% is achieved.
    Type: Grant
    Filed: June 8, 2016
    Date of Patent: October 31, 2017
    Assignee: ASM IP HOLDING B.V.
    Inventors: Shang Chen, Toshiharu Watarai, Takahiro Onuma, Dai Ishikawa, Kunitoshi Namba
  • Patent number: 9773728
    Abstract: Some embodiments include memory arrays having rows of fins. Each fin includes a first pedestal, a second pedestal and a trench between the first and second pedestals. A first source/drain region is within the first pedestal, a second source/drain region is within the second pedestal, and a channel region is along the trench between the first and second pedestals. The rows are subdivided amongst deep-type (D) rows and shallow-type (S) rows, with the deep-type rows having deeper channel regions than the shallow-type rows. Some embodiments include rows of fins in which the channel regions along individual rows are subdivided amongst deep-type (D) channel regions and shallow-type (S) channel regions, with the deep-type channel regions being below the shallow-type channel regions.
    Type: Grant
    Filed: December 27, 2016
    Date of Patent: September 26, 2017
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Patent number: 9711597
    Abstract: The present invention provides a semiconductor element that can be manufactured easily at a low cost, can obtain a high tunneling current, and has an excellent operating characteristic, a method for manufacturing the same, and a semiconductor integrated circuit including the semiconductor element. The semiconductor element of the present invention is characterized in that the whole or a part of a tunnel junction is constituted by a semiconductor region made of an indirect-transition semiconductor containing isoelectronic-trap-forming impurities.
    Type: Grant
    Filed: July 30, 2014
    Date of Patent: July 18, 2017
    Assignee: NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY
    Inventor: Takahiro Mori
  • Patent number: 9698363
    Abstract: A method of fabricating a semiconductor device includes depositing a dielectric layer on a substrate and a nanomaterial on the dielectric layer. The method also includes depositing a thin metal layer on the nanomaterial and removing a portion of the thin metal layer from a gate area. The method also includes depositing a gate dielectric layer. The method also includes selectively removing the gate dielectric layer from a source contact region and a drain contact region. The method also includes patterning a gate electrode, a source electrode, and a drain electrode.
    Type: Grant
    Filed: December 30, 2015
    Date of Patent: July 4, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Shu-Jen Han
  • Patent number: 9620644
    Abstract: A semiconductor device that includes at least one fin structure and a gate structure present on a channel portion of the fin structure. An epitaxial semiconductor material is present on at least one of a source region portion and a drain region portion on the fin structure. The epitaxial semiconductor material includes a first portion having a substantially conformal thickness on a lower portion of the fin structure sidewall and a second portion having a substantially diamond shape that is present on an upper surface of the source portion and drain portion of the fin structure. A spacer present on first portion of the epitaxial semiconductor material.
    Type: Grant
    Filed: September 2, 2015
    Date of Patent: April 11, 2017
    Assignee: International Business Machines Corporation
    Inventors: Veeraraghavan S. Basker, Zuoguang Liu, Tenko Yamashita, Chun-Chen Yeh
  • Patent number: 9508727
    Abstract: A method includes providing a plurality of active regions on a substrate, and at least a first device isolation layer between two of the plurality of active regions, wherein the plurality of active regions extend in a first direction; providing a gate layer extending in a second direction, the gate layer forming a plurality of gate lines including a first gate line and a second gate line extending in a straight line with respect to each other and having a space therebetween, each of the first gate line and second gate line crossing at least one of the active regions, providing an insulation layer covering the first device isolation layer and covering the active region around each of the first and second gate lines; and providing an inter-gate insulation region in the space between the first gate line and the second gate line.
    Type: Grant
    Filed: September 14, 2015
    Date of Patent: November 29, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hong-bae Park, Ja-hum Ku, Myeong-cheol Kim, Jin-wook Lee, Sung-kee Han
  • Patent number: 9478616
    Abstract: Semiconductor devices having a high performance channel and method of fabrication thereof are disclosed. Preferably, the semiconductor devices are Metal-Oxide-Semiconductor (MOS) devices, and even more preferably the semiconductor devices are Silicon Carbide (SiC) MOS devices. In one embodiment, a semiconductor device includes a SiC substrate of a first conductivity type, a first well of a second conductivity type, a second well of the second conductivity type, and a surface diffused channel of the second conductivity type formed at the surface of semiconductor device between the first and second wells. A depth and doping concentration of the surface diffused channel are controlled to provide increased carrier mobility for the semiconductor device as compared to the same semiconductor device without the surface diffused channel region when in the on-state while retaining a turn-on, or threshold, voltage that provides normally-off behavior.
    Type: Grant
    Filed: March 3, 2011
    Date of Patent: October 25, 2016
    Assignee: Cree, Inc.
    Inventors: Sarit Dhar, Sei-Hyung Ryu, Lin Cheng, Anant Agarwal
  • Patent number: 9431508
    Abstract: When forming field effect transistors according to the gate-first HKMG approach, the cap layer formed on top of the gate electrode had to be removed before the silicidation step, resulting in formation of a metal silicide layer on the surface of the gate electrode and of the source and drain regions of the transistor. The present disclosure improves the manufacturing flow by skipping the gate cap removal process. Metal silicide is only formed on the source and drain regions. The gate electrode is then contacted by forming an aperture through the gate material, leaving the surface of the gate metal layer exposed.
    Type: Grant
    Filed: October 7, 2013
    Date of Patent: August 30, 2016
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Stefan Flachowsky, Jan Hoentschel, Roman Boschke
  • Patent number: 9406776
    Abstract: A method for fabricating an integrated circuit device is disclosed. An exemplary method comprises performing a gate replacement process to form a gate structure, wherein the gate replacement process includes an annealing process; after the annealing process, removing portions of a dielectric material layer to form a contact opening, wherein a portion of the substrate is exposed; forming a silicide feature on the exposed portion of the substrate through the contact opening; and filling the contact opening to form a contact to the exposed portion of the substrate.
    Type: Grant
    Filed: February 25, 2014
    Date of Patent: August 2, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Shi Liu, Chen-Hua Yu
  • Patent number: 9396940
    Abstract: Embodiments disclosed herein relate to a TFT and methods for manufacture thereof. Specifically, the embodiments herein relate to methods for forming a semiconductor layer at a low temperature for use in a TFT. The semiconductor layer may be formed by depositing a nitride or oxynitride layer, such as zinc nitride or oxynitride, and then converting the nitride layer into an oxynitride layer with a different oxygen content. The oxynitride layer is formed by exposing the deposited nitride layer to a wet atmosphere at a temperature between about 85 degrees Celsius and about 150 degrees Celsius. The exposure temperature is lower than the typical deposition temperature used for forming the oxynitride layer directly or annealing, which may be performed at temperatures of about 400 degrees Celsius.
    Type: Grant
    Filed: December 17, 2012
    Date of Patent: July 19, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Yan Ye
  • Patent number: 9385229
    Abstract: Semiconductor device structures and related fabrication methods are provided. An exemplary semiconductor device structure includes a first region of semiconductor material having a first conductivity type and a first dopant concentration, a second region of semiconductor material having a second conductivity type overlying the first region, a drift region of semiconductor material having the first conductivity type overlying the second region, and a drain region of semiconductor material having the first conductivity type. The drift region and the drain region are electrically connected, with at least a portion of the drift region residing between the drain region and the second region, and at least a portion of the second region residing between that drift region and the first region. In one or more exemplary embodiments, the first region abuts an underlying insulating layer of dielectric material.
    Type: Grant
    Filed: September 24, 2014
    Date of Patent: July 5, 2016
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Hongning Yang, Xin Lin, Zhihong Zhang, Jiang-Kai Zuo
  • Patent number: 9368624
    Abstract: A transistor and method of fabrication thereof includes a screening layer formed at least in part in the semiconductor substrate beneath a channel layer and a gate stack, the gate stack including spacer structures on either side of the gate stack. The transistor includes a shallow lightly doped drain region in the channel layer and a deeply lightly doped drain region at the depth relative to the bottom of the screening layer for reducing junction leakage current. A compensation layer may also be included to prevent loss of back gate control.
    Type: Grant
    Filed: July 24, 2015
    Date of Patent: June 14, 2016
    Assignee: Mie Fujitsu Semiconductor Limited
    Inventors: Scott E. Thompson, Lucian Shifren, Pushkar Ranade, Yujie Liu, Sung Hwan Kim, Lingquan Wang, Dalong Zhao, Teymur Bakhishev, Thomas Hoffmann, Sameer Pradhan, Michael Duane
  • Patent number: 9356150
    Abstract: The present disclosure provides a method of fabricating a semiconductor device that includes forming a plurality of fins, the fins being isolated from each other by an isolation structure, forming a gate structure over a portion of each fin; forming spacers on sidewalls of the gate structure, respectively, etching a remaining portion of each fin thereby forming a recess, epitaxially growing silicon to fill the recess including incorporating an impurity element selected from the group consisting of germanium (Ge), indium (In), and carbon (C), and doping the silicon epi with an n-type dopant.
    Type: Grant
    Filed: August 24, 2015
    Date of Patent: May 31, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Chang Su, Hsien-Hsin Lin, Tsz-Mei Kwok, Kuan-Yu Chen, Hsueh-Chang Sung, Yi-Fang Pai
  • Patent number: 9349852
    Abstract: A design structure is embodied in a machine readable medium for designing, manufacturing, or testing a design. The design structure includes a high-leakage dielectric formed over an active region of a FET and a low-leakage dielectric formed on the active region and adjacent the high-leakage dielectric. The low-leakage dielectric has a lower leakage than the high-leakage dielectric. Also provided is a structure and method of fabricating the structure.
    Type: Grant
    Filed: October 22, 2014
    Date of Patent: May 24, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Brent A. Anderson, Andres Bryant, Edward J. Nowak
  • Patent number: 9324622
    Abstract: A method of forming a semiconductor device includes forming a gate stack over a substrate, forming an amorphized region in the substrate adjacent to an edge of the gate stack, forming a stress film over the substrate, performing a process to form a dislocation with a pinchoff point in the substrate, removing at least a portion of the dislocation to form a recess cavity with a tip in the substrate, and forming a source/drain feature in the recess cavity.
    Type: Grant
    Filed: August 15, 2012
    Date of Patent: April 26, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Harry-Hak-Lay Chuang, Sin-Hua Wu, Chung-Hau Fei, Ming Zhu, Bao-Ru Young
  • Patent number: 9299838
    Abstract: A method includes forming a metal-oxide-semiconductor field-effect transistor (MOSFET). The Method includes performing an implantation to form a pre-amorphization implantation (PAI) region adjacent to a gate electrode of the MOSFET, forming a strained capping layer over the PAI region, and performing an annealing on the strained capping layer and the PAI region to form a dislocation plane. The dislocation plane is formed as a result of the annealing, with a tilt angle of the dislocation plane being smaller than about 65 degrees.
    Type: Grant
    Filed: August 8, 2014
    Date of Patent: March 29, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Wei-Yuan Lu
  • Patent number: 9281246
    Abstract: A method includes forming a gate stack over a semiconductor substrate, and forming a gate spacer on a sidewall of the gate stack. After the step of forming the gate spacer, the gate spacer is etched to reduce a thickness of the gate spacer. A strained layer is then formed. The strained layer includes a portion on an outer sidewall of the gate spacer, and a portion over the gate stack.
    Type: Grant
    Filed: July 17, 2012
    Date of Patent: March 8, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Wen Wang, Jen-Pan Wang
  • Patent number: 9269582
    Abstract: An ion implantation method, in which a dopant source composition is ionized to form dopant ions, and the dopant ions are implanted in a substrate. The dopant source composition includes cluster phosphorus or cluster arsenic compounds, for achieving P- and/or As-doping, in the production of doped articles of manufacture, e.g., silicon wafers or precursor structures for manufacturing microelectronic devices.
    Type: Grant
    Filed: March 22, 2012
    Date of Patent: February 23, 2016
    Assignee: ENTEGRIS, INC.
    Inventors: Oleg Byl, Chongying Xu, William Hunks, Richard S. Ray
  • Patent number: 9257530
    Abstract: One exemplary embodiment provides a method of making an integrated circuit. The method includes forming a dummy gate structure above a semiconductor substrate, etching an exposed semiconductor substrate outside the dummy gate structure, depositing silicon oxide over the dummy gate structure and the semiconductor substrate to form a silicon oxide layer, etching source and drain contact vias through the silicon oxide layer, implanting source and drain dopants through the source and drain contact vias, removing the dummy gate structure, forming a final gate structure, etching substantially all of the silicon oxide layer, and depositing an ultra low K dielectric to form an ultra low K dielectric layer.
    Type: Grant
    Filed: August 28, 2014
    Date of Patent: February 9, 2016
    Assignee: GLOBALFOUNDRIES, INC.
    Inventors: Gerd Zschatzsch, Stefan Flachowsky, Jan Hoentschel
  • Patent number: 9236257
    Abstract: A method for processing a substrate includes providing a set of patterned structures separated by a first gap on the substrate and directing first implanting ions to the substrate at a first ion energy, where the first implanting ions are effective to impact the substrate in regions defined by the first gap. The method also includes directing depositing ions to the substrate where the second ions are effective to deposit material on at least a portion of the set of patterned structures to form expanded patterned structures, where the expanded patterned structures are characterized by a second gap smaller than the first gap. The method further includes directing second implanting ions to the substrate at a second ion energy, where the second implanting ions effective to impact the substrate in regions defined by the second gap, the second ion energy comprising a higher ion energy than the first ion energy.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: January 12, 2016
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Christopher R. Hatem, Anthony Renau, John J. Hautala, Ludovic Godet
  • Patent number: 9231079
    Abstract: One illustrative method disclosed herein includes, among other things, performing a source/drain extension ion implantation to form a doped extension implant region in the source/drain regions of the device, performing an ion implantation process on the source/drain regions with a Group VII material (e.g., fluorine), after performing the Group VII material ion implantation process, forming a capping material layer above the source/drain regions, and, with the capping material layer in position, performing an anneal process so as to form stacking faults in the source/drain regions.
    Type: Grant
    Filed: June 13, 2014
    Date of Patent: January 5, 2016
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Johannes M. van Meer, Cuiqin Xu, Isabelle Ferain
  • Patent number: 9223920
    Abstract: Aspects of the disclosure provide a circuit, such as an integrated circuit. The circuit includes a first circuit and a second circuit. The second circuit includes a delay circuit configured to cause the second circuit to have substantially matched delay characteristics of the first circuit in response to at least one parameter change of manufacturing, environmental and operational parameters, such as process variation, temperature variation, and supply voltage variation.
    Type: Grant
    Filed: March 7, 2014
    Date of Patent: December 29, 2015
    Assignee: Marvell World Trade Ltd.
    Inventors: Jason T. Su, Winston Lee
  • Patent number: 9209288
    Abstract: An embodiment includes a heterojunction tunneling field effect transistor including a source, a channel, and a drain; wherein (a) the channel includes a major axis, corresponding to channel length, and a minor axis that corresponds to channel width and is orthogonal to the major axis; (b) the channel length is less than 10 nm long; (c) the source is doped with a first polarity and has a first conduction band; (d) the drain is doped with a second polarity, which is opposite the first polarity, and the drain has a second conduction band with higher energy than the first conduction band. Other embodiments are described herein.
    Type: Grant
    Filed: December 21, 2012
    Date of Patent: December 8, 2015
    Assignee: Intel Corporation
    Inventors: Uygar E. Avci, Dmitri Nikonov, Ian Young
  • Patent number: 9202911
    Abstract: One illustrative device includes a source region and a drain region formed in a substrate, wherein the source/drain regions are doped with a first type of dopant material, a gate structure positioned above the substrate that is laterally positioned between the source region and the drain region and a drain-side well region positioned in the substrate under a portion, but not all, of the entire lateral width of the drain region, wherein the drain-side well region is also doped with the first type of dopant material. The device also includes a source-side well region positioned in the substrate under an entire width of the source region and under a portion, but not all, of the drain region and a part of the extension portion of the drain region is positioned under a portion of the gate structure.
    Type: Grant
    Filed: June 7, 2013
    Date of Patent: December 1, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Jerome Ciavatti, Yanxiang Liu
  • Patent number: 9190333
    Abstract: A semiconductor device includes a semiconductor substrate having a main surface, a MONOS-type memory cell formed over the main surface and having a channel, an n-channel transistor formed over the main surface, and a p-channel transistor formed over the man surface. Nitride films are formed in a manner to contact the top surfaces of the MONOS-type memory cell, the n-channel transistor, and the p-channel transistor. The nitride films apply stress to the channels of the MONOS-type memory cell, the n-channel transistor, and the p-channel transistor.
    Type: Grant
    Filed: November 14, 2014
    Date of Patent: November 17, 2015
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventor: Yuichi Hirano
  • Patent number: 9190498
    Abstract: A three-dimensional structure disposed on a substrate is processed so as to alter the etch rate of material disposed on at least one surface of the structure. In some embodiments, a conformal deposition of material is performed on the three-dimensional structure. Subsequently, an ion implant is performed on at least one surface of the three-dimensional structure. This ion implant serves to alter the etch rate of the material deposited on that structure. In some embodiments, the ion implant increases the etch rate of the material. In other embodiments, the ion implant decreases the etch rate. In some embodiments, ion implants are performed on more than one surface, such that the material on at least one surface is etched more quickly and material on at least one other surface is etched more slowly.
    Type: Grant
    Filed: September 13, 2013
    Date of Patent: November 17, 2015
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Adam Brand, Srinivas Nemani, John J. Hautala, Ludovic Godet, Yuri Erokhin
  • Patent number: 9171948
    Abstract: A nonvolatile semiconductor storage device has a plurality of memory strings in which electrically rewritable memory cells are connected in series. The memory strings have word-line electroconductive layers laminated at a prescribed interval to sandwich an interlayer insulating film onto a semiconductor substrate and through holes that penetrate through the word-line electroconductive layers and the interlayer insulating films. The gate insulating film is formed along an inner wall of the through holes and includes a charge-accumulating film. The columnar semiconductor layer is formed inside the through holes to sandwich the gate insulating film along with the word-line electroconductive layer. The columnar semiconductor layer contains carbon, oxygen, or nitrogen.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: October 27, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Shinji Mori
  • Patent number: 9127340
    Abstract: Silicon is selectively oxidized relative to a metal-containing material in a partially-fabricated integrated circuit. In some embodiments, the silicon and metal-containing materials are exposed portions of a partially-fabricated integrated circuit and may form part of, e.g., a transistor. The silicon and metal-containing material are oxidized in an atmosphere containing an oxidant and a reducing agent. In some embodiments, the reducing agent is present at a concentration of about 10 vol % or less.
    Type: Grant
    Filed: February 5, 2010
    Date of Patent: September 8, 2015
    Assignee: ASM INTERNATIONAL N.V.
    Inventors: Jerome Noiray, Ernst H. A. Granneman
  • Patent number: 9111909
    Abstract: The semiconductor integrated circuit device employs on the same silicon substrate a plurality of kinds of MOS transistors with different magnitudes of tunnel current flowing either between the source and gate or between the drain and gate thereof. These MOS transistors include tunnel-current increased MOS transistors at least one of which is for use in constituting a main circuit of the device. The plurality of kinds of MOS transistors also include tunnel-current reduced or depleted MOS transistors at least one of which is for use with a control circuit. This control circuit is inserted between the main circuit and at least one of the two power supply units.
    Type: Grant
    Filed: February 10, 2014
    Date of Patent: August 18, 2015
    Assignee: Tessera Advanced Technologies, Inc.
    Inventors: Nozomu Matsuzaki, Hiroyuki Mizuno, Masashi Horiguchi
  • Patent number: 9105559
    Abstract: A conformal doping process for FinFET devices on a semiconductor substrate which includes NFET fins and PFET fins. In a first exemplary embodiment, an N-type dopant composition is conformally deposited over the NFET fins and the PFET fins. The semiconductor substrate is annealed to drive in an N-type dopant from the N-type dopant composition into the NFET fins. A P-type dopant composition is conformally deposited over the NFET fins and the PFET fins. The semiconductor substrate is annealed to drive in a P-type dopant from the P-type dopant composition into the PFET fins. In a second exemplary embodiment, one of the NFET fins and PFET fins may be covered with a first dopant composition and then a second dopant composition may cover both the NFET fins and the PFET fins followed by an anneal to drive in both dopants.
    Type: Grant
    Filed: September 16, 2013
    Date of Patent: August 11, 2015
    Assignees: International Business Machines Corporation, Global Foundries, Inc.
    Inventors: Veeraraghavan S. Basker, Nathaniel Berliner, Hyun-Jin Cho, Johnathan Faltermeier, Kam-Leung Lee, Tenko Yamashita
  • Patent number: 9093367
    Abstract: Some embodiments include methods of forming one or more doped regions in a semiconductor substrate. Plasma doping may be used to form a first dopant to a first depth within the substrate. The first dopant may then be impacted with a second dopant to knock the first dopant to a second depth within the substrate. In some embodiments the first dopant is p-type (such as boron) and the second dopant is neutral type (such as germanium). In some embodiments the second dopant is heavier than the first dopant.
    Type: Grant
    Filed: June 27, 2013
    Date of Patent: July 28, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Lequn Jennifer Liu, Shu Qin, Allen McTeer, Yongjun Jeff Hu
  • Patent number: 9093464
    Abstract: A method for fabricating a small-scale MOS device, including: preparing a substrate; forming a first trench in the substrate along a first side of the gate region and forming a second trench in the substrate along a second side of the gate region, the first side of the gate region opposite the second side of the gate region; forming a first lightly doped drain region and a second lightly doped drain region in the first trench and the second trench, respectively; forming a third trench in the substrate overlapping at least a first portion of the first lightly doped drain region and a fourth trench in the substrate overlapping at least a first portion of the second lightly doped drain region; and forming a source region and a drain region in the third trench and the fourth trench, respectively.
    Type: Grant
    Filed: October 9, 2011
    Date of Patent: July 28, 2015
    Assignees: CSMC TECHNOLOGIES FAB1 CO., LTD., CSMC TECHNOLOGIES FAB2 CO., LTD.
    Inventor: Le Wang
  • Patent number: 9087721
    Abstract: Disclosed are methods, systems and devices, including a method that includes the acts of etching an inter-row trench in a substrate, substantially or entirely filling the inter-row trench with a dielectric material, and forming a fin and a insulating projection at least in part by etching a gate trench in the substrate. In some embodiments, the insulating projection includes at least some of the dielectric material in the inter-row trench.
    Type: Grant
    Filed: September 26, 2014
    Date of Patent: July 21, 2015
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Patent number: 9082876
    Abstract: Integrated circuits and methods for fabricating integrated circuits are provided herein. In an embodiment of a method for fabricating integrated circuits, a P-type gate electrode structure and an N-type gate electrode structure are formed overlying a semiconductor substrate. The gate electrode structures each include a gate electrode that overlies a gate dielectric layer and a nitride cap that overlies the gate electrode. Conductivity determining ions are implanted into the semiconductor substrate using the P-type gate electrode structure and the N-type gate electrode structure as masks to form a source region and a drain region for the P-type gate electrode structure and the N-type gate electrode structure. The nitride cap remains overlying the N-type gate electrode structure during implantation of the conductivity determining ions into the semiconductor substrate to form the source region and the drain region for the N-type gate electrode structure.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: July 14, 2015
    Assignee: GLOBALFOUNDRIES, INC.
    Inventors: Peter Javorka, Ralf Richter, Stefan Flachowsky
  • Patent number: 9070704
    Abstract: A semiconductor device including a gate electrode disposed on a semiconductor substrate and source/drain regions disposed at both sides of the gate electrode, the source/drain regions being formed by implanting impurities. The source/drain regions include an epitaxial layer formed by epitaxially growing a semiconductor material having a different lattice constant from that of the semiconductor substrate in a recessed position at a side of the gate electrode, and a diffusion layer disposed in a surface layer of the semiconductor substrate.
    Type: Grant
    Filed: June 7, 2013
    Date of Patent: June 30, 2015
    Assignee: SONY CORPORATION
    Inventor: Takuji Matsumoto
  • Patent number: 9070663
    Abstract: The present disclosure provides an apparatus and method for fabricating a semiconductor gate. The apparatus includes, a substrate having an active region and a dielectric region that forms an interface with the active region; a gate electrode located above a portion of the active region and a portion of the dielectric region; and a dielectric material disposed within the gate electrode, the dielectric material being disposed near the interface between the active region and the dielectric region. The method includes, providing a substrate having an active region and a dielectric region that forms an interface with the active region; forming a gate electrode over the substrate, the gate electrode having an opening near a region of the gate electrode that is above the interface; and filling the opening with a dielectric material.
    Type: Grant
    Filed: August 16, 2013
    Date of Patent: June 30, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Liang Chu, Fei-Yuh Chen, Chih-Wen Yao
  • Patent number: 9064723
    Abstract: A semiconductor device includes a substrate including a first region and a second region, a first gate dielectric layer, a first lower gate electrode, and a first upper gate electrode sequentially stacked on the first region, a second gate dielectric layer, a second lower gate electrode, and a second upper gate electrode sequentially stacked on the second region, a first spacer disposed on a sidewall of the first upper gate electrode, a second spacer disposed on a sidewall of the second upper gate electrode, a third spacer covering the first spacer on the sidewall of the first upper gate electrode, and a fourth spacer covering the second spacer on the sidewall of the second upper gate electrode. At least one of a first sidewall of the first lower gate electrode and a second sidewall of the first lower gate electrode is in contact with the third spacer.
    Type: Grant
    Filed: January 28, 2013
    Date of Patent: June 23, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seokjun Won, Youngmook Oh, Moonkyun Song, MinWoo Song, Namgyu Cho
  • Patent number: 9059079
    Abstract: A method is disclosed for processing an insulator material or a semiconductor material. The method includes pulsing a plasma lamp onto the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a large area region of the material. The method may further include pulsing a laser onto a selected region of the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a selected region of the material.
    Type: Grant
    Filed: September 25, 2013
    Date of Patent: June 16, 2015
    Assignees: UT-Battelle, LLC, APPLICOTE, LLC
    Inventors: Nathaniel R Quick, Pooran C Joshi, Chad Edward Duty, Gerald Earle Jellison, Jr., Joseph Attilio Angelini
  • Patent number: 9048336
    Abstract: Performance and/or uniformity of sophisticated transistors may be enhanced by incorporating a carbon species in the active regions of the transistors prior to forming complex high-k metal gate electrode structures. For example, a carbon species may be incorporated by ion implantation into the active region of a P-channel transistor and an N-channel transistor after selectively forming a threshold adjusted semiconductor material for the P-channel transistor, while the active region of the N-channel transistor is still masked.
    Type: Grant
    Filed: July 20, 2011
    Date of Patent: June 2, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Thilo Scheiper, Jan Hoentschel, Steven Langdon
  • Publication number: 20150140770
    Abstract: A method for producing a tunnel field-effect transistor is disclosed. Connection regions of different doping types are produced by means of self-aligning implantation methods.
    Type: Application
    Filed: January 7, 2015
    Publication date: May 21, 2015
    Inventors: Ronald Kakoschke, Helmut Horst Tews