Source Or Drain Doping Patents (Class 438/301)
  • Patent number: 8390073
    Abstract: A transistor structure is provided in the present invention. The transistor structure includes: a substrate comprising a P-type well, a gate disposed on the P-type well, a first spacer disposed on the gate, an N-type source/drain region disposed in the substrate at two sides of the gate, a silicon cap layer covering the N-type source/drain region, a second spacer around the first spacer and the second spacer directly on and covering a portion of the silicon cap layer and a silicide layer disposed on the silicon cap layer.
    Type: Grant
    Filed: April 18, 2012
    Date of Patent: March 5, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Han Hung, Tsai-Fu Chen, Shyh-Fann Ting, Cheng-Tung Huang, Kun-Hsien Lee, Ta-Kang Lo, Tzyy-Ming Cheng
  • Publication number: 20130049128
    Abstract: Disclosed herein are various semiconductor devices with dual metal silicide regions and to various methods of making such devices. In one example, the device includes a gate electrode and a plurality of source/drain regions formed in a substrate proximate the gate electrode structure. The device further includes a first metal silicide region formed in each of the source/drain regions, wherein the first metal silicide region has an inner boundary and a second metal silicide region formed in each of the source/drain regions, wherein the second metal silicide region is positioned laterally between the inner boundary of the first metal silicide region and an edge of the gate electrode structure.
    Type: Application
    Filed: August 25, 2011
    Publication date: February 28, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Thilo Scheiper, Stefan Flachowsky
  • Patent number: 8383485
    Abstract: A method for forming a semiconductor device such as a MOSFET. The method includes forming gate electrode pillars on a silicon substrate via material deposition and etching. Following the etching step to define the pillars, an epitaxial silicon film is grown on the substrate between the pillars prior to forming recesses in the substrate for the source/drain regions of the transistor. The epitaxial silicon film compensates for substrate material that may be lost during formation of the gate electrode pillars, thereby producing source/drain recesses having a configuration amenable to be filled uniformly with silicon for later forming the source/drain regions in the substrate.
    Type: Grant
    Filed: July 13, 2011
    Date of Patent: February 26, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Shiang-Bau Wang
  • Patent number: 8373233
    Abstract: A semiconductor device includes a gate, a source region and a drain region that are co-doped to produce a strain in the channel region of a transistor. The co-doping can include having a source and drain region having silicon that includes boron and phosphorous or arsenic and gallium. The source and drain regions can include co-dopant levels of more than 1020 atom/cm3. The source region and drain region each can be co-doped with more boron than phosphorous or can be co-doped with more phosphorous than boron. Alternatively, the source region and drain region each can be co-doped with more arsenic than gallium or can be co-doped with more gallium than arsenic. A method of manufacturing a semiconductor device includes forming a gate on top of a substrate and over a nitrogenated oxide layer, etching a portion of the substrate and nitrogenated oxide layer to form a recessed source region and a recessed drain region, filling the recessed source region and the recessed drain region with a co-doped silicon compound.
    Type: Grant
    Filed: November 13, 2008
    Date of Patent: February 12, 2013
    Assignee: Applied Materials, Inc.
    Inventor: Zhiyuan Ye
  • Publication number: 20130032883
    Abstract: Field effect transistors fabricated using atomic layer doping processes are disclosed. In accordance with an embodiment of an atomic layer doping method, a semiconducting surface and a dopant gas mixture are prepared. Further, a dopant layer is grown on the semiconducting surface by applying the dopant gas mixture to the semiconducting surface under a pressure that is less than 500 Torr and a temperature that is between 300° C. and 750° C. The dopant layer includes at least 4×1020 active dopant atoms per cm3 that react with atoms on the semiconducting surface such that the reacted atoms increase the conductivity of the semiconducting surface.
    Type: Application
    Filed: August 4, 2011
    Publication date: February 7, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kevin K. Chan, Young-Hee Kim, Isaac Lauer, Ramachandran Muralidhar, Dae-Gyu Park, Xinhui Wang, Min Yang
  • Patent number: 8367528
    Abstract: Methods for selectively depositing high quality epitaxial material include introducing pulses of a silicon-source containing vapor while maintaining a continuous etchant flow. Epitaxial material is deposited on areas of a substrate, such as source and drain recesses. Between pulses, the etchant flow continues such that lower quality epitaxial material may be removed, as well as any non-epitaxial material that may have been deposited. The pulse of silicon-source containing vapor may be repeated until a desired thickness of epitaxial material is selectively achieved in semiconductor windows, such as recessed source/drain regions.
    Type: Grant
    Filed: November 17, 2009
    Date of Patent: February 5, 2013
    Assignee: ASM America, Inc.
    Inventors: Matthias Bauer, Shawn G. Thomas
  • Publication number: 20130029464
    Abstract: Methods are provided for fabricating integrated circuits using non-oxidizing resist removal. In accordance with one embodiment the method includes forming a gate electrode structure overlying a semiconductor substrate and applying and patterning a layer of resist to expose a portion of the semiconductor substrate adjacent the gate electrode structure. Conductivity determining ions are implanted into the semiconductor substrate using the gate electrode structure and the layer of resist as an implant mask. The layer of resist is removed in a non-oxidizing ambient and the implanted conductivity determining ions are activated by thermal annealing.
    Type: Application
    Filed: July 27, 2011
    Publication date: January 31, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Stefan Flachowsky, Steven Langdon, Thomas Feudel
  • Patent number: 8361874
    Abstract: A polysilicon film to be a resistor element is formed on a resistor element formation region of a semiconductor substrate while a polysilicon gate and high concentration impurity regions are formed on a transistor formation region. Thereafter, an insulating film is formed on the entire surface of the semiconductor substrate. Then, a photoresist film is formed to cover the transistor formation region, and a conductive impurity is ion-implanted into the polysilicon film. Next, the photoresist film is removed by ashing.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: January 29, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Akira Eguchi
  • Patent number: 8361872
    Abstract: A method of forming a semiconductor device includes: forming a channel of a field effect transistor (FET) in a substrate; forming a heavily doped region in the substrate; and forming recesses adjacent the channel and the heavily doped region. The method also includes: forming an undoped or lightly doped intermediate layer in the recesses on exposed portions of the channel and the heavily doped region; and forming source and drain regions on the intermediate layer such that the source and drain regions are spaced apart from the heavily doped region by the intermediate layer.
    Type: Grant
    Filed: September 7, 2010
    Date of Patent: January 29, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jin Cai, Toshiharu Furukawa, Robert R. Robison
  • Publication number: 20130020623
    Abstract: The present disclosure provides an integrated circuit. The integrated circuit includes a semiconductor substrate having a periphery region and a memory region; a field effect transistor disposed in the periphery region and having silicide features; and a single floating gate non-volatile memory device disposed in the memory region, free of silicide and having a first gate electrode and a second gate electrode laterally spaced from each other.
    Type: Application
    Filed: July 18, 2011
    Publication date: January 24, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ying-Kit Tsui, Huang-Wen Tseng
  • Publication number: 20130023101
    Abstract: A method and manufacture for memory device fabrication is provided. Spacer formation and junction formation is performed on both: a memory cell region in a core section of a memory device in fabrication, and a high-voltage device region in a periphery section of the memory device in fabrication. The spacer formation and junction formation on both the memory cell region and the high-voltage device region includes performing a rapid thermal anneal. After performing the spacer formation and junction formation on both the memory cell region and the high-voltage device region, spacer formation and junction formation is performed on a low-voltage device region in the periphery section.
    Type: Application
    Filed: July 18, 2011
    Publication date: January 24, 2013
    Applicant: Spansion LLC
    Inventors: Simon Siu-Sing Chan, Hidehiko Shiraiwa, Chuan Lin, Lei Xue, Kenichi Ohtsuka, Angela Tai Hui
  • Publication number: 20130020612
    Abstract: A method of forming an n-type metal-oxide-semiconductor (NMOS) field-effect transistor (FET) includes forming a silicon germanium layer, and forming a silicon layer over the silicon germanium layer. A gate stack is formed over the silicon layer. The silicon layer is recessed to form a recess adjacent the gate stack. A silicon-containing semiconductor region is epitaxially grown in the recess to form a source/drain stressor, wherein the silicon-containing semiconductor region forms a source/drain region the NMOS FET.
    Type: Application
    Filed: July 22, 2011
    Publication date: January 24, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Clement Hsingjen Wann, Chih-Hsin Ko, Yao-Tsung Huang, Cheng-Ying Huang
  • Publication number: 20130023102
    Abstract: According to one embodiment, a method of manufacturing a semiconductor device includes forming a gate electrode on a channel region in a silicon substrate via a gate insulation film; forming a source region and a drain region in the silicon substrate so as to sandwich the channel region along a channel direction by injecting desired impurities to the silicon substrate; forming amorphous regions containing the impurities on surfaces of the source region and the drain region by amorphousizing the surfaces of the source region and the drain region; forming nickel films on the amorphous regions; and forming crystal layers containing the activated impurities and forming nickel silicide films on the crystal layers at low temperature by radiating microwaves to the amorphous regions and the nickel films.
    Type: Application
    Filed: March 8, 2012
    Publication date: January 24, 2013
    Inventors: Tomonori Aoyama, Kiyotaka Miyano, Hiroshi Nakazawa
  • Patent number: 8357574
    Abstract: A method for fabricating an integrated device is disclosed. The disclosed method provides improved formation selectivity of epitaxial films over a pre-determined region designed for forming an epi film and a protective layer preferred not to form an epi, polycrystalline, or amorphous film thereon during an epi film formation process. In an embodiment, the improved formation selectivity is achieved by providing a nitrogen-rich protective layer to decrease the amount of growth epi, polycrystalline, or amorphous film thereon.
    Type: Grant
    Filed: October 14, 2010
    Date of Patent: January 22, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Hsi Yeh, Hsien-Hsin Lin, Hui Ouyang, Chi-Ming Yang
  • Publication number: 20130015533
    Abstract: A method for forming a semiconductor device such as a MOSFET. The method includes forming gate electrode pillars on a silicon substrate via material deposition and etching. Following the etching step to define the pillars, an epitaxial silicon film is grown on the substrate between the pillars prior to forming recesses in the substrate for the source/drain regions of the transistor. The epitaxial silicon film compensates for substrate material that may be lost during formation of the gate electrode pillars, thereby producing source/drain recesses having a configuration amenable to be filled uniformly with silicon for later forming the source/drain regions in the substrate.
    Type: Application
    Filed: July 13, 2011
    Publication date: January 17, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Shiang-Bau WANG
  • Patent number: 8354311
    Abstract: One aspect of the present subject matter relates to a method for forming a transistor. According to an embodiment, a fin is formed from a crystalline substrate. A first source/drain region is formed in the substrate beneath the fin. A surrounding gate insulator is formed around the fin. A surrounding gate is formed around the fin and separated from the fin by the surrounding gate insulator. A second source/drain region is formed in a top portion of the fin. Various embodiments etch a hole in a layer over the substrate, form sidewall spacers in the hole, form a fin pattern from the sidewall spacers, and etch into the crystalline substrate to form the fin from the substrate using a mask corresponding to the fin pattern. Other aspects are provided herein.
    Type: Grant
    Filed: April 4, 2006
    Date of Patent: January 15, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 8354718
    Abstract: An apparatus comprising a substrate of first dopant type and first dopant concentration; pocket regions in the substrate and having the first dopant type and a second dopant concentration greater than the first dopant concentration; a gate stack over the substrate and laterally between the pocket regions; first and second source/drain regions on opposing sides of the gate stack and vertically between the gate stack and the pocket regions, the first and second source/drain regions having a second dopant type opposite the first dopant type and a third dopant concentration; and third and fourth source/drain regions having the second dopant type and a fourth dopant concentration that is greater than the third dopant concentration, wherein the pocket regions are between the third and fourth source/drain regions, and the third and fourth source/drain regions are vertically between the first and second source/drain regions and a bulk portion of the substrate.
    Type: Grant
    Filed: May 22, 2007
    Date of Patent: January 15, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Chiang Wang, Yi-Ming Sheu, Ying-Shiou Lin
  • Patent number: 8354322
    Abstract: Techniques are disclosed herein for applying different process steps to single-level cell (SLC) blocks in a memory array than to multi-level cell (MLC) blocks such that the SLC blocks will have high endurance and the MLC blocks will have high reliability. In some aspects, different doping is used in the MLC blocks than the SLC blocks. In some aspects, different isolation is used in the MLC blocks than the SLC blocks. Techniques are disclosed that apply different read parameters depending on how many times a block has been programmed/erased. Therefore, blocks that have been cycled many times are read using different parameters than blocks that have been cycled fewer times.
    Type: Grant
    Filed: July 20, 2011
    Date of Patent: January 15, 2013
    Assignee: SanDisk Technologies Inc.
    Inventors: Fumitoshi Ito, Shinji Sato
  • Patent number: 8350338
    Abstract: A semiconductor device is disclosed. In an embodiment, a semiconductor device includes a N-well within a P-well in a silicon layer, the silicon layer positioned atop a buried oxide layer of a silicon-on-insulator (SOI) substrate; a first source region and a second source region within a portion of the P-well; a first drain region and a second drain region within a portion of the P-well and within a portion of the N-well; and a gate positioned atop the N-well, wherein a lateral high field region is generated between the N-well and the P-well and a vertical high field region is generated between the gate and the N-well. A related method is disclosed.
    Type: Grant
    Filed: February 8, 2011
    Date of Patent: January 8, 2013
    Assignee: International Business Machines Corporations
    Inventors: William F. Clark, Jr., Yun Shi
  • Patent number: 8349716
    Abstract: Disclosed is a semiconductor device having a p-n junction with reduced junction leakage in the presence of metal silicide defects that extend to the junction and a method of forming the device. Specifically, a semiconductor layer having a p-n junction is formed. A metal silicide layer is formed on the semiconductor layer and a dopant is implanted into the metal silicide layer. An anneal process is performed causing the dopant to migrate toward the metal silicide-semiconductor layer interface such that the peak concentration of the dopant will be within a portion of the metal silicide layer bordering the metal silicide-semiconductor layer interface and encompassing the defects. As a result, the silicide to silicon contact is effectively engineered to increase the Schottky barrier height at the defect, which in turn drastically reduces any leakage that would otherwise occur, when the p-n junction is in reverse polarity.
    Type: Grant
    Filed: October 25, 2010
    Date of Patent: January 8, 2013
    Assignees: International Business Machines Corporation, GlobalFoundries Inc.
    Inventors: Ming Cai, Christian Lavoie, Ahmet S. Ozcan, Bin Yang, Zhen Zhang
  • Publication number: 20130001555
    Abstract: The present invention provides a method for manufacturing a semiconductor structure, comprising the steps of: depositing an interlayer dielectric layer (105) on a semiconductor substrate (101) to cover a source/drain region (102) and a gate stack on the semiconductor substrate (101); etching the interlayer dielectric layer and the source/drain region, so as to form a contact hole (110) extending into the source/drain region; conformally forming an amorphous layer (111) on an exposed part of the source/drain region; forming a metal silicide layer (113) on a surface of the amorphous layer (111); and filling the contact hole (110) with a contact metal (114). Correspondingly, the present invention further provides a semiconductor structure. The present invention etches the source/drain region so that the exposed part comprises the bottom and a sidewall, thereby expanding the contact area between the contact metal in the contact hole and the source/drain region, and reducing the contact resistance.
    Type: Application
    Filed: April 18, 2011
    Publication date: January 3, 2013
    Inventors: Haizhou Yin, Zhijiong Luo, Huilong Zhu
  • Patent number: 8344465
    Abstract: In a method of the present invention during a salicide process, before a second thermal process, a dopant is implanted at a place located in a region ranging from a NixSi layer at middle height down to a front thereof, or before formation of the NixSi layer, located in a region ranging from a silicon layer at a depth ranging from a half of a predetermined thickness of a NiSi layer down to a depth where is a predetermined front of the NiSi layer. The dopant is allowed to be heated with the NixSi layer together during the second thermal process to form a Si/NiSi2/NiSi interface which may reduce SBH and improve series resistance to obtain a semiconductor device having an excellent performance.
    Type: Grant
    Filed: March 20, 2012
    Date of Patent: January 1, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Yi-Wei Chen, Nien-Ting Ho, Kuo-Chih Lai, Chien-Chung Huang
  • Patent number: 8343870
    Abstract: A semiconductor device which can effectively suppress a short channel effect and junction leakage is provided. A semiconductor device includes a field effect transistor. The field effect transistor includes a first semiconductor region of a first conductivity type, a gate electrode formed on a gate insulating film, and source and drain electrodes. The field effect transistor also includes second semiconductor regions of a second conductivity type. The field effect transistor further includes third semiconductor regions of the second conductivity type having an impurity concentration higher than that of the second semiconductor region and formed between the source electrode and the first and second semiconductor regions and between the drain electrode and the first and second semiconductor regions, and side wall insulating films formed on both the side surfaces of the gate electrode. The source electrode and the drain electrode are separated from the side wall insulating films.
    Type: Grant
    Filed: September 1, 2009
    Date of Patent: January 1, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsuhiro Kinoshita, Junji Koga
  • Publication number: 20120329233
    Abstract: A wafer treatment method includes the following steps. A wafer is provided, wherein the wafer includes a substrate, a first oxide layer located on a front side of the substrate and a second oxide layer located on a back side of the substrate. An etching process is performed to entirely remove the first oxide layer. A fabricating method of a MOS transistor applying the wafer treatment method is also provided.
    Type: Application
    Filed: June 27, 2011
    Publication date: December 27, 2012
    Inventors: Ruei-Hao Huang, Jung-Ching Chen
  • Patent number: 8338316
    Abstract: A plasma enhanced physical vapor deposition process deposits an amorphous carbon layer on an ion-implanted wafer for use in dynamic surface annealing of the wafer with an intense line beam of a laser wavelength. The deposition process is carried out at a wafer temperature below the dopant clustering threshold temperature, and includes introducing the wafer into a chamber having a carbon-containing target overlying the wafer, and furnishing a carrier gas into the chamber. The process further includes generating a wafer bias voltage and applying target source power to the carbon-containing target sufficient to produce ion bombardment of the carbon-containing target. The wafer bias voltage is set to a level at which the amorphous carbon layer that is deposited has a desired extinction coefficient at the laser wavelength.
    Type: Grant
    Filed: May 19, 2011
    Date of Patent: December 25, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Vijay Parihar, Christopher Dennis Bencher, Rajesh Kanuri, Marlon E. Menezes
  • Publication number: 20120315735
    Abstract: A transistor using an oxide semiconductor, which has good on-state characteristics is provided. A high-performance semiconductor device including the transistor capable of high-speed response and high-speed operation is provided. In a manufacturing method of the transistor including the oxide semiconductor film including a channel formation region, an insulating film including a metal element is formed over the oxide semiconductor film, and low-resistance regions in which a dopant added through the insulating film by an implantation method is included are formed in the oxide semiconductor film. The channel formation region is positioned between the low-resistance regions in the channel length direction.
    Type: Application
    Filed: May 29, 2012
    Publication date: December 13, 2012
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Junichi KOEZUKA, Shinji OHNO, Yuichi SATO, Shunpei YAMAZAKI
  • Publication number: 20120315736
    Abstract: A method of manufacturing a semiconductor device includes forming a first region including a FinFET (Fin Field Effect Transistor), forming a second region including a PlanarFET (Planar Field Effect Transistor), forming first extension regions in the plurality of fins in the first region, forming second extension regions in the second region using the second gate electrode as a mask, forming first side walls and second side walls on side surfaces of the first gate electrode and on side surfaces of the second gate electrode, respectively, and forming a source and a drain of the FinFET in the first region using the first gate electrode and first side walls as masks and forming a source and a drain of the PlanarFET in the second region by an ion implantation method using the second gate electrode and second side walls as masks, at the same time.
    Type: Application
    Filed: August 14, 2012
    Publication date: December 13, 2012
    Applicant: Renesas Electronics Corporation
    Inventors: Toshiyuki Iwamoto, Gen Tsutsui
  • Patent number: 8329548
    Abstract: A field transistor for electrostatic discharge (ESD) protection and method for making such a transistor is described. The field transistor includes a gate conductive layer pattern formed on a field oxide layer. Since the gate conductive layer pattern is formed on the field oxide layer, a thin gate insulating layer having a high possibility of insulation breakdown is not used. To form an inversion layer for providing a current path between source and drain regions, a field oxide layer is interposed to form low concentration source and drain regions overlapped by the gate conductive layer pattern.
    Type: Grant
    Filed: July 15, 2011
    Date of Patent: December 11, 2012
    Assignee: Fairchild Korea Semiconductor, Ldt.
    Inventors: Taeg-hyun Kang, Jun-hyeong Ryu, Jong-hwan Kim
  • Patent number: 8329550
    Abstract: A method of fabricating a transistor in a semiconductor device includes forming a gate structure over a substrate, forming a first trench by etching the substrate on either side of the gate structure to a first depth, ion-implanting dopants of a first conductivity type to form a source/drain region in the substrate on the side of the gate structure with the first trench, etching the substrate on the side of the gate structure with the first trench to a second depth larger than the first depth to form a second trench, and growing an epitaxial layer within the second trench.
    Type: Grant
    Filed: October 20, 2011
    Date of Patent: December 11, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Min-Jung Shin
  • Patent number: 8329566
    Abstract: The present invention relates to a method of manufacturing a semiconductor device, wherein the method comprises: providing a substrate; forming a source region, a drain region, a dummy gate structure, and a gate dielectric layer on the substrate, wherein the dummy gate structure is between the source region and the drain region on the substrate, and the gate dielectric layer is between the substrate and the dummy gate structure; annealing the source region and the drain region; removing the dummy gate structure to form an opening; implanting dopants into the substrate from the opening to form a steep retrograded well; annealing to activate the dopants; and forming a metal gate on the gate dielectric layer by deposition.
    Type: Grant
    Filed: June 22, 2010
    Date of Patent: December 11, 2012
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huilong Zhu, Wenwu Wang
  • Publication number: 20120305986
    Abstract: A semiconductor structure and a method for forming the same are provided. The semiconductor structure includes a wafer; a plurality of convex structures formed on the wafer, in which every two adjacent convex structures are separated by a cavity in a predetermined pattern and arranged in an array, and the cavity between every two adjacent convex structures is less than 50 nm in width; and a first semiconductor film formed on the plurality of convex structures, in which a part of the first semiconductor film is spaced apart from the wafer.
    Type: Application
    Filed: November 11, 2011
    Publication date: December 6, 2012
    Applicant: TSINGHUA UNIVERSITY
    Inventors: Jing Wang, Lei Guo
  • Publication number: 20120309158
    Abstract: A method for fabricating semiconductor device is disclosed. The method includes the steps of: providing a substrate; forming a dummy gate on the substrate; forming a contact etch stop layer on the dummy gate and the substrate; performing a planarizing process to partially remove the contact etch stop layer; partially removing the dummy gate; and performing a thermal treatment on the contact etch stop layer.
    Type: Application
    Filed: June 6, 2011
    Publication date: December 6, 2012
    Inventors: Wen-Han Hung, Tsai-Fu Chen, Ta-Kang Lo, Tzyy-Ming Cheng
  • Patent number: 8324060
    Abstract: A method is provided for fabricating a NAND flash memory array having vertical channels and sidewall gate structure and a fabricating method of the same. The NAND flash memory array has insulator strip structure and one or more semiconductor strips are next to the both sides of the insulator strip. The NAND flash memory array allows for an improvement of the integrity by decreasing the memory cell area by half and less, and solves the problems of the conventional three-dimensional structure regarding isolation between not only channels but also source/drain regions at the bottom of trenches. The method for fabricating the NAND flash memory array having a pillar structure uses the conventional CMOS process and an etching process with minimum masks, enables to cut down costs.
    Type: Grant
    Filed: August 31, 2011
    Date of Patent: December 4, 2012
    Assignee: Seoul National University Industry Foundation
    Inventors: Byung Gook Park, Seong Jae Cho
  • Publication number: 20120299121
    Abstract: A system and method for forming semiconductor structures is disclosed. An embodiment comprises forming a high diffusibility layer adjacent to a gate stack and forming a low diffusibility layer adjacent to the high diffusibility layer. After these two layers are formed, an anneal is performed to diffuse dopants from the high diffusibility layer underneath the gate stack to help form a channel region.
    Type: Application
    Filed: May 24, 2011
    Publication date: November 29, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company., Ltd.
    Inventors: Chii-Ming Wu, Chien-Chang Su, Hsien-Hsin Lin, Yi-Fang Pai
  • Publication number: 20120299098
    Abstract: A FinFET device and method for fabricating a FinFET device is disclosed. An exemplary FinFET device includes a semiconductor substrate; an insulator layer disposed over the semiconductor substrate; a fin structure disposed over the insulator layer, the fin structure having a source region, a drain region, and a channel region disposed between the source region and the drain region; a gate structure disposed adjacent to the channel region of the fin structure; and a doped region disposed in the semiconductor substrate below the channel region of the fin structure. The gate structure includes a first gate dielectric layer disposed adjacent to the fin structure, a second gate dielectric layer, a charge storing layer disposed between the first gate dielectric layer and the second gate dielectric layer, and a gate electrode layer disposed adjacent to the second gate dielectric layer.
    Type: Application
    Filed: May 25, 2011
    Publication date: November 29, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Po-Wei Liu
  • Publication number: 20120299109
    Abstract: A fabrication method of trench power semiconductor structure with high switching speed is provided. An epitaxial layer with a first conductivity type is formed on a substrate. Then, gate structures are formed in the epitaxial layer. A shallow doped region with the first conductivity type is formed in the surface layer of the epitaxial layer. After that, a shielding structure is formed on the shallow doped region. Then, wells with a second conductivity type are formed in the epitaxial layer by using the shielding structure as an implantation mask. Finally, a source doped region with the first conductivity type is formed on the surface of the well. The doping concentration of the shallow doped layer is smaller than that of the source doped region and the well. The doping concentration of the shallow doped layer is larger than that of the epitaxial layer.
    Type: Application
    Filed: May 25, 2011
    Publication date: November 29, 2012
    Applicant: GREAT POWER SEMICONDUCTOR CORP.
    Inventors: YUAN-SHUN CHANG, KAO-WAY TU
  • Patent number: 8318569
    Abstract: Provided are methods of forming a semiconductor device, the method including: forming an insulation region on a substrate region, and an active region on the insulation region; patterning the active region to form an active line pattern; forming a gate pattern to surround an upper portion and lateral portions of the active line pattern; separating the gate pattern into a plurality of sub-gate regions, and separating the active line pattern into a plurality of sub-active regions, in order to form a plurality of memory cells that are each formed of the sub-active region and the sub-gate region and that are separated from one another; and forming first and second impurity doping regions along both edges of the sub-active regions included in each of the plurality of the memory cells, wherein the forming of the first and second impurity doping regions comprises doping lateral portions of the sub-active regions via a space between the memory cells.
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: November 27, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Tae-hee Lee
  • Patent number: 8318571
    Abstract: A method for forming a MOS device with an ultra shallow lightly doped diffusion region includes providing a gate dielectric layer overlying a substrate surface region, forming a gate structure overlying the gate dielectric layer, performing a first implant process using a germanium species to form an amorphous region within an LDD region using the gate structure as a mask, and performing a second implant process in the LDD region using a P-type impurity and a carbon species. A first thermal process activates the P-type impurity in the LDD region, forming side wall spacers overlying the gate structure, and performing a third implant process using a first impurity to form active source/drain regions in a vicinity of the surface region adjacent to the gate structure using the gate structure and the spacers as a mask. A second thermal process then activates the first impurity in the active source/drain regions.
    Type: Grant
    Filed: October 24, 2008
    Date of Patent: November 27, 2012
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Chia Hao Lee
  • Patent number: 8318568
    Abstract: A method for fabricating an FET device characterized as being a tunnel FET (TFET) device is disclosed. The method includes processing a gate-stack, and processing the adjoining source and drain junctions, which are of a first conductivity type. A hardmask is formed covering the gate-stack and the junctions. A tilted angle ion implantation is performed which is received by a first portion of the hardmask, and it is not received by a second portion of the hardmask due to the shadowing of the gate-stack. The implanted portion of the hardmask is removed and one of the junctions is exposed. The junction is etched away, and a new junction, typically in-situ doped to a second conductivity type, is epitaxially grown into its place. A device characterized as being an asymmetrical TFET is also disclosed.
    Type: Grant
    Filed: April 14, 2010
    Date of Patent: November 27, 2012
    Assignee: International Business Machines Corporation
    Inventors: Bruce B. Doris, Kangguo Cheng, Wilfried E. Haensch, Ali Khakifirooz, Isaac Lauer, Ghavam G. Shahidi
  • Publication number: 20120292672
    Abstract: FINFET ICs and methods for their fabrication are provided. In accordance with one embodiment a FINFET IC is fabricated by forming in a substrate a region doped with an impurity of a first doping type. The substrate region is etched to form a recess defining a fin having a height and sidewalls and the recess adjacent the fin is filled with an insulator having a thickness less than the height. Spacers are formed on the sidewalls and a portion of the insulator is etched to expose a portion of the sidewalls. The exposed portion of the sidewalls is doped with an impurity of the first doping type, the exposed sidewalls are oxidized, and the sidewall spacers are removed. A gate insulator and gate electrode are formed overlying the fin, and end portions of the fin are doped with an impurity of a second doping type to form source and drain regions.
    Type: Application
    Filed: May 19, 2011
    Publication date: November 22, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventor: Jin CHO
  • Publication number: 20120292711
    Abstract: A semiconductor structure is provided. The semiconductor structure comprises: a substrate; a gate dielectric layer formed on the substrate; a metal gate electrode layer formed on the gate dielectric layer; and at least one metal-containing adjusting layer for adjusting a work function of the semiconductor structure, in which an interfacial layer is formed between the substrate and the gate dielectric layer, and an energy of bond between a metal atom in the metal-containing adjusting layer and an oxygen atom is larger than that between an atom of materials forming the gate dielectric layer or the interfacial layer and an oxygen atom. Further, a method for forming the semiconductor structure is also provided.
    Type: Application
    Filed: August 2, 2011
    Publication date: November 22, 2012
    Applicant: TSINGHUA UNIVERSITY
    Inventors: Mei Zhao, Renrong Liang, Jing Wang, Jun Xu
  • Publication number: 20120280324
    Abstract: An SRAM memory cell with reduced SiGe formation area using a gate extension (530) that extends over the STI/p-active interface (536). A process for forming an SRAM memory cell with reduced SiGe formation area. A process for forming an SRAM memory cell with improved read/write stability.
    Type: Application
    Filed: November 2, 2011
    Publication date: November 8, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Weize Xiong, Gregory Charles Baldwin
  • Patent number: 8304320
    Abstract: An insulated-gate field-effect transistor (100) provided along an upper surface of a semiconductor body contains a pair of source/drain zones (240 and 242) laterally separated by a channel zone (244). A gate electrode (262) overlies a gate dielectric layer (260) above the channel zone. Each source/drain zone includes a main portion (240M or 242M) and a more lightly doped lateral extension (240E or 242E) laterally continuous with the main portion and extending laterally under the gate electrode. The lateral extensions, which terminate the channel zone along the upper semiconductor surface, are respectively largely defined by a pair of semiconductor dopants of different atomic weights. With the transistor being an asymmetric device, the source/drain zones constitute a source and a drain. The lateral extension of the source is then more lightly doped than, and defined with dopant of higher atomic weight, than the lateral extension of the drain.
    Type: Grant
    Filed: May 3, 2011
    Date of Patent: November 6, 2012
    Assignee: National Semiconductor Corporation
    Inventors: Sandeep R. Bahl, William D. French, Constantin Bulucea
  • Patent number: 8304319
    Abstract: Methods for fabricating a semiconductor device are disclosed. A metal-rich silicide and/or a mono-silicide is formed on source/drain (S/D) regions. A millisecond anneal is provided to the metal-rich silicide and/or the mono-silicide to form a di-silicide with limited spikes at the interface between the silicide and substrate. The di-silicide has an additive which can lower the electron Schottky barrier height.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: November 6, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-Wen Nieh, Hung-Chang Hsu, Wen-Chi Tsai, Mei-Yun Wang, Chii-Ming Wu, Wei-Jung Lin, Chih-Wei Chang
  • Patent number: 8298927
    Abstract: A method of adjusting a metal gate work function of an NMOS device comprises: depositing a layer of metal nitride film or metal film on a high K dielectric as a metal gate electrode by a physical vapor deposition process; implanting elements such as Tb, Er, Yb or Sr into the metal gate electrode by an ion implantation process; performing a high temperature annealing so that the doped metal ions are driven to and accumulate on the interface between the metal gate electrode and the high K gate dielectric, or form dipoles by an interface reaction on the interface between the high K gate dielectric and SiO2. The method is capable of adjusting the metal gate work function, and is well-compatible with CMOS process.
    Type: Grant
    Filed: September 21, 2010
    Date of Patent: October 30, 2012
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Qiuxia Xu, Gaobo Xu
  • Patent number: 8299535
    Abstract: Semiconductor structures are disclosed that have embedded stressor elements therein. The disclosed structures include at least one FET gate stack located on an upper surface of a semiconductor substrate. The at least one FET gate stack includes source and drain extension regions located within the semiconductor substrate at a footprint of the at least one FET gate stack. A device channel is also present between the source and drain extension regions and beneath the at least one gate stack. The structure further includes embedded stressor elements located on opposite sides of the at least one FET gate stack and within the semiconductor substrate.
    Type: Grant
    Filed: June 25, 2010
    Date of Patent: October 30, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kevin K. Chan, Abhishek Dube, Judson R. Holt, Jeffrey B. Johnson, Jinghong Li, Dae-Gyu Park, Zhengmao Zhu
  • Patent number: 8297991
    Abstract: The present invention provides a highly controllable device for exposure from the back side and an exposure method, and also provides a method of manufacturing a semiconductor device using the same. The present invention involves exposure with the use of the back side exposure device of which a reflecting means is disposed on the front side of a substrate, apart from a photosensitive thin film surface by a distance X (X=0.1 ?m to 1000 ?m), and formation of a photosensitive thin film pattern in a self alignment manner, with good controllability, at a position a distance Y away from the end of a pattern. The invention fabricates a TFT using that method.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: October 30, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hiroki Adachi
  • Publication number: 20120270377
    Abstract: A method of fabricating a semiconductor structure, in which after an etching process is performed to form at least one recess within a semiconductor beside a gate structure, a thermal treatment is performed on the recess in a gas atmosphere including an inert gas before a silicon-containing epitaxial layer is formed in the recess through an epitaxy growth process.
    Type: Application
    Filed: April 25, 2011
    Publication date: October 25, 2012
    Inventors: Ted Ming-Lang Guo, Chin-Cheng Chien, Shu-Yen Chan, Chan-Lon Yang, Chun-Yuan Wu
  • Patent number: 8288235
    Abstract: A device having a self-aligned body on a first side of a gate is disclosed. The self-aligned body helps to achieve very low channel length for low Rdson. The self-aligned body is isolated, enabling to bias the body at different bias potentials. The device may be configured into a finger architecture having a plurality of transistors with commonly coupled, sources, commonly coupled gates, and commonly coupled drains to achieve high drive current outputs.
    Type: Grant
    Filed: October 20, 2010
    Date of Patent: October 16, 2012
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventor: Purakh Raj Verma
  • Patent number: 8288259
    Abstract: With the evacuation of an interior of a vacuum chamber halted and with gas supply into the vacuum chamber halted, in a state that a mixed gas of helium gas and diborane gas is sealed in the vacuum chamber, a plasma is generated in a vacuum vessel and simultaneously a high-frequency power is supplied to a sample electrode. By the high-frequency power supplied to the sample electrode, boron is introduced to a proximity to a substrate surface.
    Type: Grant
    Filed: November 19, 2010
    Date of Patent: October 16, 2012
    Assignee: Panasonic Corporation
    Inventors: Tomohiro Okumura, Ichiro Nakayama, Satoshi Maeshima, Bunji Mizuno, Yuichiro Sasaki