Gettering Of Substrate Patents (Class 438/471)
  • Publication number: 20080296565
    Abstract: A method of fabricating a polycrystalline silicon layer includes: forming an amorphous silicon layer on a substrate; crystallizing the amorphous silicon layer into a polycrystalline silicon layer using a crystallization-inducing metal; forming a metal layer pattern or metal silicide layer pattern in contact with an upper or lower region of the polycrystalline silicon layer corresponding to a region excluding a channel region in the polycrystalline silicon layer; and annealing the substrate to getter the crystallization-inducing metal existing in the channel region of the polycrystalline silicon layer to the region in the polycrystalline silicon layer having the metal layer pattern or metal silicide layer pattern. Accordingly, the crystallization-inducing metal existing in the channel region of the polycrystalline silicon layer can be effectively removed, and thus a thin film transistor having an improved leakage current characteristic and an OLED display device including the same can be fabricated.
    Type: Application
    Filed: May 30, 2008
    Publication date: December 4, 2008
    Applicant: Samsung SDI Co., Ltd.
    Inventors: Byoung-Keon PARK, Jin-Wook SEO, Tae-Hoon YANG, Kil-Won LEE, Ki-Yong LEE
  • Patent number: 7452742
    Abstract: To provide a back-illuminated solid-state imaging device able to suppress a crystal defect caused by a metal contamination in a process and to suppress a dark current to improve quantum efficiency, a camera including the same and a method of producing the same, having the steps of forming a structure including a substrate, a first conductive type epitaxial layer and a first conductive type impurity layer, the first conductive type epitaxial layer being formed on the substrate to have a first impurity concentration, and the first conductive type impurity layer being formed in a boundary region to have a second impurity concentration higher than the first impurity concentration of the epitaxial layer; forming a second conductive type region storing a charge generated by a photoelectric conversion in the epitaxial layer; forming an interconnection layer on the epitaxial layer; and removing the substrate.
    Type: Grant
    Filed: June 29, 2005
    Date of Patent: November 18, 2008
    Assignee: Sony Corporation
    Inventor: Hideo Kanbe
  • Publication number: 20080254599
    Abstract: Apparatus and methods that minimize surface defect development in silicon wafers during thermal processing at relatively high temperatures at which silicon wafers are annealed and at less extreme temperature, or for other purposes. The apparatus and methods have utility to horizontally-disposed furnaces for silicon wafers and to vertically-oriented furnaces in which larger wafers can be thermally processed. A selectively-sealable process tube encloses silicon wafers during heating of the silicon wafers to a predetermined temperature, and a heating atmosphere supply system induces through the process tube a positive flow of a process gas, such as hydrogen or argon, that is non-reactive with solid silicon at the predetermined temperature. A process tube outlet vents gas from the process tube, and an impurity sensor in the process tube outlet detects oxygen and moisture in the vented gas to verify the purity of the atmosphere surrounding the wafers during thermal processing.
    Type: Application
    Filed: April 16, 2007
    Publication date: October 16, 2008
    Inventors: Amit S. Kelkar, Larry Puechner, David E. Billings
  • Publication number: 20080233716
    Abstract: The principal objects of the present invention are to provide structure of a semiconductor device capable of reducing a bowing of a wafer, and a method for fabricating the semiconductor device. The present invention is applied to a semiconductor device, which is fabricated with a semiconductor substrate having a silicon carbide (SiC) film. The method includes the steps of: forming the SiC film on a semiconductor wafer; discriminating a deformation condition of the semiconductor wafer; and forming grooves in the SiC film, the grooves having a shape determined in accordance with the deformation condition of the semiconductor wafer.
    Type: Application
    Filed: March 6, 2008
    Publication date: September 25, 2008
    Applicant: OKI ELECTRIC INDUSTRY CO., LTD.
    Inventor: Kazuhide Abe
  • Publication number: 20080197457
    Abstract: A silicon wafer which achieves a gettering effect without occurrence of slip dislocations is provided, and the silicon wafer is subject to heat treatment after slicing from a silicon monocrystal ingot so that a layer which has zero light scattering defects according to the 90° light scattering method is formed in a region at a depth from the wafer surface of 25 ?m or more but less than 100 ?m, and a layer which has a light scattering defect density of 1×108/cm3 or more according to the 90° light scattering method is formed in a region at a depth of 100 ?m from the wafer surface.
    Type: Application
    Filed: February 4, 2008
    Publication date: August 21, 2008
    Applicant: SUMCO CORPORATION
    Inventors: Toshiaki ONO, Masataka HOURAI
  • Patent number: 7407867
    Abstract: A method for producing a semiconductor structure that includes at least one useful layer on a substrate.
    Type: Grant
    Filed: August 24, 2006
    Date of Patent: August 5, 2008
    Assignees: S.O.I.Tec Silicon on Insulator Technologies, Commissariat à l'Energie Atomique (CEA)
    Inventors: Bruno Ghyselen, Cécile Aulnette, Benoĩt Bataillou, Carlos Mazure, Hubert Moriceau
  • Patent number: 7407871
    Abstract: A process for fabricating an MOS device specifically a DRAM device, featuring passivation of defects in regions of a semiconductor substrate wherein defects left unpassivated can deleteriously influence data retention time, has been developed. A high density plasma dry etching procedure used to define the DRAM conductive gate electrode can create unwanted defects in a region near the surface of uncovered portions of the semiconductor substrate during the high density plasma procedure over etch cycle. Implantation of a group V element such as arsenic can be used to passivate the unwanted plasma etch defects, thus reducing the risk of defect related device leakage phenomena.
    Type: Grant
    Filed: September 5, 2006
    Date of Patent: August 5, 2008
    Assignee: TECH Semiconductor Singapore Pte Ltd
    Inventors: Arvind Kumar, Keen Wah Chow, Devesh Kumar Datta, Subramanian Krishnan
  • Patent number: 7405131
    Abstract: The example embodiments disclose devices and methods to prevent silicide strapping of the Source/Drain to Body in semiconductor devices with S/D stressor. We provide isolation regions in the substrate and a gate structure over the substrate. We form recesses in the substrate adjacent to the gate structure with disposable spacers and adjacent to the isolation regions. We provide stressor regions filling the recesses. The stress region can have a pit adjacent the isolation regions. We form stressor spacers at least partially in the pit on the sidewalls of the stressor regions. We form silicide regions over the stressor regions. The spacer on the stressor regions sidewalls inhibit the formation of silicide at the stressor region edge during the silicide process, thus preventing silicide strapping of the Source/Drain to Body.
    Type: Grant
    Filed: July 16, 2005
    Date of Patent: July 29, 2008
    Assignees: Chartered Semiconductor Manufacturing, Ltd., International Business Machines Corporation (IBM)
    Inventors: Yung Fu Chong, Brian Joseph Greene
  • Patent number: 7397110
    Abstract: A high-resistance silicon wafer is manufactured in which a gettering ability, mechanical strength, and economical efficiency are excellent and an oxygen thermal donor is effectively prevented from being generated in a heat treatment for forming a circuit, which is implemented on the side of a device maker. A heat treatment for forming an oxygen precipitate nucleus is performed at 500 to 900° C. for 5 hours or more in a non-oxidizing atmosphere and a heat treatment for growing an oxygen precipitate is performed at 950 to 1050° C. for 10 hours or more on a high-oxygen and carbon-doped high-resistance silicon wafer in which resistivity is 100 ?cm or more, an oxygen concentration is 14×1017 atoms/cm3 (ASTM F-121, 1979) or more and a carbon concentration is 0.5×1016 atoms/cm3 or more. By these heat treatments, a remaining oxygen concentration in the wafer is controlled to be 12×1017 atoms/cm3 (ASTM F-121, 1979) or less.
    Type: Grant
    Filed: April 16, 2003
    Date of Patent: July 8, 2008
    Assignee: Sumitomo Mitsubishi Silicon Corporation
    Inventors: Nobumitsu Takase, Hideshi Nishikawa, Makoto Ito, Koji Sueoka, Shinsuke Sadamitsu
  • Patent number: 7387952
    Abstract: A semiconductor substrate for forming a pixel area provided surfacially with a plurality of pixels for photoelectric conversion, the semiconductor substrate, including a polysilicon film of a thickness of 0.5-2.0, on a rear surface of the pixel area-bearing surface, and having an oxygen concentration of 1.3-1.5E+18 atom/cm3 (old ASTM).
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: June 17, 2008
    Assignee: Canon Kabushiki Kaisha
    Inventors: Shigeru Nishimura, Seiichi Tamura, Hiroshi Yuzurihara
  • Publication number: 20080135988
    Abstract: Various embodiments of the present invention relate to systems, devices, and methods for treating a semiconductor substrate, such as a silicon wafer, in order to reduce current leakage therein. A semiconductor substrate is provided a plurality of heating treatments that create a denuded zone adjacent to a surface of the substrate and a core zone below the denuded zone. Oxygen impurities within the denuded zone are removed through an oxygen out-diffusion heat treatment. A plurality of macroscopic bulk micro defects is generated within the core zone through the combination of an agglomeration heat treatment and a macroscopic growth heat treatment. This plurality of macroscopic bulk micro defects inhibits migration of metallic contaminants that are located within the substrate. For exemplary purposes, certain embodiments are described relating to a semiconductor wafer heated in a sequence of three treatments.
    Type: Application
    Filed: December 7, 2006
    Publication date: June 12, 2008
    Inventors: Amit Subhash Kelkar, Joshua Li, Danh John C. Nguyen, Vijay Ullal
  • Publication number: 20080138963
    Abstract: After crystallization of a semiconductor film is performed by irradiating first laser light (energy density of 400 to 500 mJ/cm2) in an atmosphere containing oxygen, an oxide film formed by irradiating the first laser light is removed. It is next performed to irradiate second laser light under an atmosphere that does not contain oxygen (at a higher energy density than that of the first laser light irradiation), thus to increase the flatness of the semiconductor film.
    Type: Application
    Filed: November 6, 2007
    Publication date: June 12, 2008
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Setsuo Nakajima, Hidekazu Miyairi
  • Publication number: 20080131679
    Abstract: Silicon wafers and a process for their manufacture wherein both slip dislocation and occurrence of warpage are suppressed include heat treatment to provide wafers having plate-shaped BMDs, a density of BMDs whose diagonal lengths are in a range of 10 nm to 120 nm, of BMDs present in the bulk of the wafer at a distance of 50 ?m or more is 1×1011/cm3 or more, and the density of BMDs whose diagonal lengths are 750 nm or more in the wafer bulk is 1×107/cm3 or less, and the interstitial oxygen concentration is 5×1017 atoms/cm3 or less. The process involves low and high temperature heat treating at under defined temperature ramping rates.
    Type: Application
    Filed: November 29, 2007
    Publication date: June 5, 2008
    Applicant: SILTRONIC AG
    Inventors: Katsuhiko Nakai, Sei Fukushima
  • Publication number: 20080124899
    Abstract: The invention relates to a method of improving a surface of a semiconductor substrate which is at least partially made of silicon. Defects present in or on the semiconductor substrate can be really repaired to provide a semiconductor substrate with a high surface quality. This is achieved by a selective epitaxial deposition in the at least one hole in the surface of the semiconductor substrate. Generally, the deposition step is preceded by an etching step which removes the defects and leaves behind at least one hole that can be plugged or filled with the selective epitaxial deposition of silicon to repair the substrate.
    Type: Application
    Filed: February 22, 2007
    Publication date: May 29, 2008
    Inventor: Wen Lin
  • Patent number: 7364987
    Abstract: In a method of forming a semiconductor device, a copper diffusion-prevention layer is formed underneath a substrate. Impurity regions are formed on the surface of the substrate. A copper wiring is electrically connected to the impurity regions. The copper diffusion-prevention layer is formed before forming the lightly doped source/drain regions to prevent copper atoms from diffusing into the substrate.
    Type: Grant
    Filed: January 14, 2005
    Date of Patent: April 29, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-Seog Youn, Jong-Hyon Ahn, Hee-Sung Kang, Tae-Woong Kang
  • Publication number: 20080044986
    Abstract: A method of decreasing the density of dielectric interface traps in an integrated circuit device. In accordance with the teachings of the present invention, the method includes providing a semiconductor substrate, processing the semiconductor substrate to form an integrated circuit device, such as a field effect transistor including forming a dielectric layer, and heating the dielectric layer in an atmosphere comprising at least one gaseous halogen compound.
    Type: Application
    Filed: August 18, 2006
    Publication date: February 21, 2008
    Inventors: Olaf Storbeck, Wieland Pethe
  • Patent number: 7332416
    Abstract: Methods to manufacture contaminant-gettering materials in the surface of EUV optics are described herein. An optical element is patterned and a contaminant-gettering material is formed on a surface of the optical element. In one embodiment, a photoresist is deposited on an optical coating on the optical element. Trenches are formed in the optical coating. The gettering agent is formed into the trenches over the photoresist. Next, the photoresist is removed from the optical coating to expose the gettering agent in the trenches. For another embodiment, patches of a nanotube forest having a gettering agent are formed in designated areas of an optical element. The gettering agent of the patches may be a plurality of carbon nanotubes. The optical coating is formed on a substrate between patches of the gettering agent.
    Type: Grant
    Filed: March 28, 2005
    Date of Patent: February 19, 2008
    Assignee: Intel Corporation
    Inventors: Robert L. Bristol, Bruce H. Billett
  • Patent number: 7332385
    Abstract: A catalytic element is added to an amorphous semiconductor film and heat treatment is conducted therefor to produce a crystalline semiconductor film with good quality, a TFT (semiconductor device) with a satisfactory characteristic is realized using the crystalline semiconductor film. A semiconductor layer includes a region containing an impurity element which has a concentration of 1×1019/cm3 to 1×1021/cm3 and belongs to group 15 of the periodic table and an impurity element which has a concentration of 1.5×1019/cm3 to 3×1021/cm3 and belongs to group 13 of the periodic table, and the region is a region to which a catalytic element left in the semiconductor film (particularly, the channel forming region) moves.
    Type: Grant
    Filed: February 13, 2003
    Date of Patent: February 19, 2008
    Assignees: Semiconductor Energy Laboratory Co., Ltd., Sharp Kabushiki Kaisha
    Inventors: Misako Nakazawa, Naoki Makita
  • Patent number: 7329590
    Abstract: The present method provides tools for growing conformal metal nitride, metal carbide and metal thin films, and nanolaminate structures incorporating these films, from aggressive chemicals. The amount of corrosive chemical compounds, such as hydrogen halides, is reduced during the deposition of transition metal, transition metal carbide and transition metal nitride thin films on various surfaces, such as metals and oxides. Getter compounds protect surfaces sensitive to hydrogen halides and ammonium halides, such as aluminum, copper, silicon oxide and the layers being deposited, against corrosion. Nanolaminate structures (20) incorporating metal nitrides, such as titanium nitride (30) and tungsten nitride (40), and metal carbides, and methods for forming the same, are also disclosed.
    Type: Grant
    Filed: October 19, 2004
    Date of Patent: February 12, 2008
    Assignee: ASM International N.V.
    Inventors: Kai-Erik Elers, Suvi P. Haukka, Ville Antero Saanila, Sari Johanna Kaipio, Pekka Juha Soininen
  • Patent number: 7326597
    Abstract: One aspect of this disclosure relates to a method for creating a gettering site in a semiconductor wafer. In various embodiments, a predetermined arrangement of a plurality of holes is formed in the semiconductor wafer through a surface of the wafer. The wafer is annealed such that the wafer undergoes a surface transformation to transform the arrangement of the plurality of holes into a predetermined arrangement of at least one empty space of a predetermined size within the wafer to form the gettering site. One aspect relates to a semiconductor wafer. In various embodiments, the wafer includes at least one device region, and at least one gettering region located proximate to the at least one device region. The gettering region includes a precisely-determined arrangement of a plurality of precisely-formed voids that are formed within the wafer using a surface transformation process. Other aspects and embodiments are provided herein.
    Type: Grant
    Filed: June 27, 2005
    Date of Patent: February 5, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Joseph E. Geusic
  • Publication number: 20080026544
    Abstract: It is an object to provide a method for improving the quality of an SiC layer by effectively reducing or eliminating the carrier trapping centers by high temperature annealing and an SiC semiconductor device fabricated by the method. A method for improving the quality of an SiC layer by eliminating or reducing some carrier trapping centers comprising the steps of: (a) carrying out ion implantation of carbon atoms (C), silicon atoms, hydrogen atoms, or helium atoms into a shallow surface layer (A) of the starting SiC crystal layer (E) to introduce excess carbon interstitials into the implanted surface layer, and (b) heating the layer for making the carbon interstitials (C) to diffuse out from the implanted surface layer (A) into a bulk layer (E) and for making the electrically active point defects in the bulk layer inactive. After the above steps, the surface layer (A) can be etched or mechanically removed. A semiconductor device according to the invention is fabricated by the method.
    Type: Application
    Filed: November 10, 2006
    Publication date: January 31, 2008
    Applicant: Central Research Institute of Electric Power Industry
    Inventors: Hidekazu Tsuchida, Liutauras Storasta
  • Patent number: 7323398
    Abstract: A method of manufacturing a crystalline wafer that includes implanting first atomic species in a donor substrate to form a region of weakness at a first depth therein and configured to facilitate detachment of a first layer of the donor substrate from a remaining portion of the donor substrate. The first layer and remaining portion are disposed on opposite sides of the region of weakness. The method also includes implanting second atomic species in the donor substrate to form a gettering region at a second depth therein that is different than the first depth to reduce or minimize migration of the implanted first atomic species past the gettering region. This reduces or minimizes an increase in roughness of a surface produced on the first layer after detachment thereof from the remaining portion at the region of weakness.
    Type: Grant
    Filed: September 20, 2005
    Date of Patent: January 29, 2008
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventor: Takeshi Akatsu
  • Patent number: 7320931
    Abstract: Methods and apparatus are provided for depositing a layer of pure germanium can on a silicon substrate. This germanium layer is very thin, on the order of about 14 ?, and is less than the critical thickness for pure germanium on silicon. The germanium layer serves as an intermediate layer between the silicon substrate and the high k gate layer, which is deposited on the germanium layer. The germanium layer helps to avoid the development of an oxide interfacial layer during the application of the high k material. Application of the germanium intermediate layer in a semiconductor structure results in a high k gate functionality without the drawbacks of series capacitance due to oxide impurities. The germanium layer further improves mobility.
    Type: Grant
    Filed: July 30, 2004
    Date of Patent: January 22, 2008
    Assignee: Freescale Semiconductor Inc.
    Inventors: Shawn G. Thomas, Vida Ilderem, Papu D. Maniar
  • Publication number: 20080003782
    Abstract: In one embodiment, a multi-layer extrinsic gettering structure includes plurality of polycrystalline semiconductor layers each separated by a dielectric layer.
    Type: Application
    Filed: July 3, 2006
    Publication date: January 3, 2008
    Inventors: David Lysacek, Michal Lorenc, Lukas Valek
  • Publication number: 20070272990
    Abstract: According to an exemplary embodiment of the present invention, a diffusion tube includes a diffusion housing which includes a first cavity within a first end which receives a diffusion target, a second cavity within a second end which receives a dopant source for diffusion, and a diffusion port disposed between the diffusion target and the dopant source, wherein the diffusion port provides fluid communication between the first cavity and the second cavity.
    Type: Application
    Filed: February 9, 2007
    Publication date: November 29, 2007
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Gi-bum KIM, Taek KIM, Jae-min MYOUNG, Min-chang JEONG
  • Patent number: 7297573
    Abstract: A method for assembling a micro-electromechanical system (MEMS) device that includes a micro-machine is described. The method comprises forming the micro-machine on a die, the die having a top surface and a bottom surface, providing a plurality of die bonding pedestals on a surface of a housing, and mounting at least one of the top surface of the die and components of the micro-machine to the die bonding pedestals such that a bottom surface of the die at least partially shields components of the micro-machine from loose gettering material.
    Type: Grant
    Filed: November 16, 2005
    Date of Patent: November 20, 2007
    Assignee: Honeywell International Inc.
    Inventors: Jon B. DCamp, Harlan L. Curtis, Lori A. Dunaway, Max C. Glenn
  • Patent number: 7297927
    Abstract: Ultra-low leakage current backside-illuminated semiconductor photodiode arrays are fabricated using a method of formation of a transparent, conducting bias electrode layer that avoids high-temperature processing of the substrate after the wafer has been gettered. As a consequence, the component of the reverse-bias leakage current associated with strain, crystallographic defects or impurities introduced during elevated temperature processing subsequent to gettering can be kept extremely low. An optically transparent, conductive bias electrode layer, serving as both an optical window and an ohmic backside equipotential contact surface for the photodiodes, is fabricated by etching through the polysilicon gettering layer and a portion of the thickness of heavily-doped crystalline silicon layer formed within, and near the back of, the substrate during the gettering process.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: November 20, 2007
    Assignee: Digirad Corporation
    Inventors: Lars S. Carlson, Shulai Zhao, John Sheridan, Alan Mollet
  • Patent number: 7294561
    Abstract: The present invention provides methods for forming SOI wafers having internal gettering layers for sequestering metallic impurities. More particularly, in one embodiment of the invention, a plurality of sites for sequestering metallic impurities are formed in a silicon substrate by implanting a selected dose of oxygen ions therein. In one embodiment, an epitaxial layer of crystalline silicon is formed over the substrate, and a buried continuous oxide layer is generated in the epitaxial layer, for example, by employing a SIMOX process.
    Type: Grant
    Filed: August 14, 2003
    Date of Patent: November 13, 2007
    Assignee: Ibis Technology Corporation
    Inventors: Yuri Erokhin, Kevin J. Dempsey
  • Patent number: 7288206
    Abstract: A high-purity alkali etching solution for silicon wafers results in silicon wafers with extremely low metal impurity contamination, and excellent surface flatness. The alkali etching solution contains sodium hydroxide containing 1 ppb or less of the elements Cu, Ni, Mg, and Cr, 5 ppb or less of the elements Pb and Fe, 10 ppb or less of the elements Al, Ca, and Zn, and 1 ppm or less of chloride, sulfate, phosphate, and nitrogen compounds other than nitrate and nitrite, and containing 0.01 to 10 wt % of nitrate and/or nitrite.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: October 30, 2007
    Assignee: Siltronic AG
    Inventor: Shigeki Nishimura
  • Publication number: 20070216042
    Abstract: This invention provides methods for manufacturing compound-material wafers and methods for recycling donor substrates that results from manufacturing compound-material wafers. The provided methods includes at least one further thermal treatment step configured to at least partially reduce oxygen precipitates and/or nuclei. Reduction of oxygen precipitates and/or nuclei, improves the recycling rate of the donor substrate.
    Type: Application
    Filed: June 21, 2006
    Publication date: September 20, 2007
    Inventors: Daniel Delprat, Eric Neyret, Oleg Kononchuk, Patrick Reynaud, Michael Stinco
  • Patent number: 7269885
    Abstract: A method of manufacturing a piezoelectric vibrator comprises providing a piezoelectric vibrator piece inside a hermetic container. A gettering metal film is formed inside the hermetic container on an inner surface of the container or on a surface of the piezoelectric vibrator piece, and a weight is formed separate from the metal film on the piezoelectric vibrator piece. A laser beam is irradiated on the metal film to heat the same to getter gas contained inside the hermetic container, and after completion of gettering, the laser beam is irradiated on the weight to adjust the frequency of vibration of the piezoelectric vibrator piece.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: September 18, 2007
    Assignee: Seiko Instruments Inc.
    Inventors: Satoshi Shimizu, Masaru Matsuyama
  • Patent number: 7202124
    Abstract: A method and structure for forming semiconductor structures using tensilely strained gettering layers. The method includes forming a donor wafer comprising a tensilely strained gettering layer disposed over a substrate, and at least one material layer disposed over the tensilely strained gettering layer. Additionally, the donor wafer may possess a particle-confining region proximate the tensilely strained layer. The method also includes introducing particles into the donor wafer to a depth below the surface, and accumulating at least some particles within the tensilely strained gettering layer. Next, the method includes initiating a cleaving action so as to separate at least one of the material layers form the substrate. The tensilely strained gettering layer may accumulate particles and/or point defects and reduce the implantation dose and thermal budget required for cleaving.
    Type: Grant
    Filed: October 1, 2004
    Date of Patent: April 10, 2007
    Assignee: Massachusetts Institute of Technology
    Inventors: Eugene A. Fitzgerald, Arthur J. Pitera
  • Patent number: 7198974
    Abstract: One aspect of the present subject matter relates to a method for forming strained semiconductor film. In various embodiments, a single crystalline semiconductor film is formed on a substrate surface, and a recess is created beneath the film. A portion of the film is influenced into the void and strained. In various embodiments, the naturally-occurring Van der Waal's force is sufficient to influence the film into the void. In various embodiments, a nano-imprint mask is used to assist with influencing the film into the void. In various embodiments, an oxide region is formed in a silicon substrate, and a single crystalline silicon film is formed on the semiconductor substrate and on at least a portion of the oxide region. The oxide region is removed allowing the Van der Waal's force to bond the film to the silicon substrate. Other aspects are provided herein.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: April 3, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 7199057
    Abstract: A method by which a silicon wafer is prevented from increasing boron concentration near the surface and difference in the boron concentration does not arise between the surface of the annealed wafer and the silicon bulk to eliminate boron contamination in the silicon wafer caused by an annealing treatment is provided. The method includes, when annealing a silicon wafer having a surface on which a native oxide film has formed and boron of environmental origin or from chemical treatment prior to annealing has deposited, steps of carrying out temperature heat-up in a mixed gas atmosphere having a mixing ratio of hydrogen gas to inert gas of 5% to 100% so as to remove the boron-containing native oxide film, followed by annealing in an inert gas atmosphere.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: April 3, 2007
    Assignee: Sumco Corporation
    Inventors: So Ik Bae, Yoshinobu Nakada, Kenichi Kaneko
  • Patent number: 7195990
    Abstract: A catalyst element remaining in a first semiconductor film subjected to a first heat treatment (crystallization) is moved and concentrated/collected by subjecting a second semiconductor film which is formed on the first semiconductor film and contains a rare gas element to a second heat treatment. That is, the rare gas element is incorporated into the second semiconductor film to generate a strain field as a gettering site.
    Type: Grant
    Filed: December 12, 2003
    Date of Patent: March 27, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Yasuyuki Arai
  • Patent number: 7192813
    Abstract: There is provided a technique to form a single crystal semiconductor thin film or a substantially single crystal semiconductor thin film. An amorphous semiconductor thin film is irradiated with ultraviolet light or infrared light, to obtain a crystalline semiconductor thin film (102). Then, the crystalline semiconductor thin film (102) is subjected to a heat treatment at a temperature of 900 to 1200° C. in a reducing atmosphere. The surface of the crystalline semiconductor thin film is extremely flattened through this step, defects in crystal grains and crystal grain boundaries disappear, and the single crystal semiconductor thin film or substantially single crystal semiconductor thin film is obtained.
    Type: Grant
    Filed: November 4, 2005
    Date of Patent: March 20, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hisashi Ohtani, Tamae Takano
  • Patent number: 7166505
    Abstract: A method for making a semiconductor device is described. That method includes forming on a substrate a dielectric layer that has a dielectric constant that is greater than the dielectric constant of silicon dioxide. The dielectric layer is modified so that it will be compatible with a gate electrode to be formed on the dielectric layer, and then a gate electrode is formed on the dielectric layer.
    Type: Grant
    Filed: September 16, 2004
    Date of Patent: January 23, 2007
    Assignee: Intel Corporation
    Inventors: Robert Chau, Reza Arghavani, Mark Doczy
  • Patent number: 7164187
    Abstract: Provided are a semiconductor and semiconductor substrate exhibiting low resistance on the substrate side while exhibiting high resistivity in an epitaxially grown layer formed thereover; a method of manufacturing the same; and a semiconductor device employing this semiconductor. The semiconductor consists of a compound single crystal and comprises a region having a planar defect density of 1×107/cm2 or more and a region having a planar defect density of 1/cm2 or less. The semiconductor substrate comprises the aforementioned semiconductor on a substrate. The methods of manufacturing the aforementioned semiconductor and semiconductor substrate are also provided.
    Type: Grant
    Filed: December 9, 2003
    Date of Patent: January 16, 2007
    Assignee: Hoya Corporation
    Inventor: Hiroyuki Nagasawa
  • Patent number: 7155803
    Abstract: A pressure sensor includes a pressure sensor house assembly which contains a reference cavity, in which a vacuum exists, and a getter capable of being thermally activated. The getter is activated by directly contacting the getter with an exterior heated body, conducting heat from the exterior heated body, maintaining the exterior heated body in direct contact with the getter for a predetermined period of time, and removing the exterior heated body.
    Type: Grant
    Filed: February 10, 2004
    Date of Patent: January 2, 2007
    Assignee: MKS Instruments Inc.
    Inventor: Staffan Jonsson
  • Patent number: 7151060
    Abstract: A device for thermally treating semiconductor wafers having at least one silicon layer to be oxidized and a metal layer, preferably a tungsten layer, which is not to be oxidized. The inventive device comprises the following: at least one radiation source; a treatment chamber receiving the substrate, with at least one wall part located adjacent to the radiation sources and which is substantially transparent for the radiation of said radiation source; and at least one cover plate between the substrate and the wall part of the treatment chamber located adjacent to the radiation sources, the dimensions of said cover plate being selected such that it fully covers the transparent wall part of the treatment chamber in relation to the substrate in order to prevent material, comprising a metal, metal oxide or metal hydroxide such as tungsten, tungsten oxide or tungsten hydroxide, from said substrate from becoming deposited on or evaporating onto the transparent wall part of the treatment chamber.
    Type: Grant
    Filed: July 25, 2003
    Date of Patent: December 19, 2006
    Assignee: Mattson Thermal Products GmbH
    Inventors: Georg Roters, Steffen Frigge, Sing Pin Tay, Yao Zhi Hu, Regina Hayn, Jens-Uwe Sachse, Erwin Schoer, Wilhelm Kegel
  • Patent number: 7135351
    Abstract: The present invention is directed to a single crystal Czochralski-type silicon wafer, and a process for the preparation thereof, which has at least a surface layer of high resistivity, the layer having an interstitial oxygen content which renders it incapable of forming thermal donors in an amount sufficient to affect resistivity upon being subjected to a conventional semiconductor device manufacturing process. The present invention further directed to a silicon on insulator structure derived from such a wafer.
    Type: Grant
    Filed: March 17, 2005
    Date of Patent: November 14, 2006
    Assignee: MEMC Electronic Materials, Inc.
    Inventors: Martin J. Binns, Robert J. Falster, Jeffrey L. Libbert
  • Patent number: 7135386
    Abstract: By removing halogen atoms existing on the surface of the silicon layer and in the subsurface thereof so that the concentration of halogen atoms becomes 100 ppm or lower and forming an electrode on the resulting silicon layer, the electrode which has a low resistance can be produced, and a highly reliable semiconductor device can be produces as well.
    Type: Grant
    Filed: March 24, 2003
    Date of Patent: November 14, 2006
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Kotaro Kataoka, Hiroshi Iwata, Masayuki Nakano
  • Patent number: 7129120
    Abstract: In a method of manufacturing a semiconductor film, nickel elements are first held as indicated by 103 on the surface of an amorphous silicon film 102. Then a crystalline silicon film 104 is obtained by a heat treatment. At this time, the crystallization is remarkably improved by the action of the nickel elements. During this crystallization, nickel elements are diffused in a film. Then a thermal oxide film 105 is formed as a barrier film, and a silicon film 106 containing a high concentration of phosphorus is formed. By carrying out a heat treatment, the nickel elements in the crystalline silicon film 104 are transferred into the silicon film 106. In this way, the concentration of nickel in the crystalline silicon film 104 is lowered.
    Type: Grant
    Filed: November 4, 2005
    Date of Patent: October 31, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 7112509
    Abstract: The present invention provides a method for generating silicon-on-insulator (SOI) wafers that exhibit a high electrical resistivity. In one embodiment of a method according to the teachings of the invention, a SIMOX process is sandwiched between two Full Oxygen Precipitation (FOP) cycles that sequester interstitial oxygen present in the substrate in the form of oxide precipitates, thereby enhancing the electrical resistivity of the susbtrate.
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: September 26, 2006
    Assignees: Ibis Technology Corporation, SEH America, Inc.
    Inventors: Yuri Erokhin, Okeg V. Konochuk
  • Patent number: 7098148
    Abstract: A method for heat treatment of a semiconductor wafer placed on a support. The method includes subjecting the wafer to a heat treatment with a slow temperature rise from an initial temperature to a treatment ending temperature, and minimizing slip lines that would otherwise result in the wafer from the heat treatment by introducing at least one temperature plateau of constant temperature and of predetermined duration in the heat treatment before reaching the treatment ending temperature. The method reduces the temperature gradients on the wafer to minimize slip lines in the wafer resulting from the heat treatment.
    Type: Grant
    Filed: June 9, 2004
    Date of Patent: August 29, 2006
    Assignee: S.O.I.Tec Silicon on Insulator Technologies S.A.
    Inventors: Walter Schwarzenbach, Jean-Marc Waechter
  • Patent number: 7091110
    Abstract: A technique, where a semiconductor film having a crystal structure is obtained using a metal element that helps crystallization of the semiconductor film, then that metal element remained in the film is effectively removed, as a result variation among elements is reduced, is provided. In a process for forming a gettering site, a semiconductor film containing a rare-gas element is formed, then an anti-diffusion film for preventing diffusion of the rare-gas element is formed, thereby the metal element in another semiconductor film is effectively removed, particularly in a gettering that is a heating treatment at a high temperature of 600° C. or more.
    Type: Grant
    Filed: June 11, 2003
    Date of Patent: August 15, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hideto Ohnuma
  • Patent number: 7087518
    Abstract: One aspect of the invention relates to a method of removing contaminants from a low-k film. The method involves forming a sacrificial layer over the contaminated film. The contaminants combine with the sacrificial layer and are removed by etching away the sacrificial layer. An effective material for the sacrificial layer is, for example, a silicon carbide. The method can be used to prevent the occurrence of pattern defects in chemically amplified photoresists formed over low-k films.
    Type: Grant
    Filed: May 15, 2003
    Date of Patent: August 8, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: David Gerald Farber, William Wesley Dostalik, Robert Kraft, Andrew J. McKerrow, Kenneth Joseph Newton, Ting Tsui
  • Patent number: 7071079
    Abstract: The present invention provides an epitaxial wafer wherein a silicon epitaxial layer is formed on a surface of a silicon single crystal wafer in which nitrogen is doped, and a density of oxide precipitates having such a size that a gettering capability can be achieved in a bulk is 108 numbers/cm3 or more. And the present invention also provides a method for producing an epitaxial wafer wherein a silicon single crystal in which nitrogen is doped is pulled by Czochralski method, the silicon single crystal is processed into a wafer to produce a silicon single crystal wafer, and the silicon single crystal wafer is subjected to heat treatment so that a density of oxide precipitates having such a size that a gettering capability can be achieved in a bulk of the wafer may be 108 numbers/cm3 or more, and then the silicon single crystal wafer is subjected to epitaxial growth. A silicon single crystal wafer which surely has a high gettering capability irrespective of a device process can be obtained herewith.
    Type: Grant
    Filed: August 21, 2002
    Date of Patent: July 4, 2006
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventor: Satoshi Tobe
  • Patent number: 7067433
    Abstract: A method of reducing fluorine contamination on a integrated circuit wafer surface is achieved. The method comprises placing an integrated circuit wafer on a cathode stage. The integrated circuit wafer comprises a surface contaminated with fluorine. The integrated circuit wafer is plasma treated with a plasma comprising a reducing gas that forms HF from the fluorine and a bombardment gas that removes the fluorine from the surface. The cathode stage is heated to thereby increase the rate of the fluorine removal.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: June 27, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wen-Jui Fu, Shang-Ru Shen, Yun-Hung Shen, Chao-Cheng Chen
  • Patent number: 7045444
    Abstract: Phosphorus is implanted into a crystalline semiconductor film by an ion dope method. However, a concentration of phosphorus required for gettering is 1×1020/cm3 or higher which hinders recrystallization by later anneal, and thus this becomes a problem. Also, when phosphorus is added at a high concentration, processing time required for doping is increased and throughput in a doping step is reduced, and thus this becomes a problem. The present invention is characterized in that impurity regions to which an element belonging to the group 18 of the periodic table is added are formed in a semiconductor film having a crystalline structure and gettering for segregating in the impurity regions a metal element contained in the semiconductor film is performed by heat treatment. Also, a one conductivity type impurity may be contained in the impurity regions.
    Type: Grant
    Filed: December 19, 2001
    Date of Patent: May 16, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Osamu Nakamura, Masayuki Kajiwara, Junichi Koezuka