At Least One Metallization Level Formed Of Diverse Conductive Layers Patents (Class 438/625)
  • Patent number: 8679967
    Abstract: The present invention provides apparatus, methods, and systems for fabricating memory lines and structures using double sidewall patterning for four times half pitch relief patterning. The invention includes forming features from a first template layer disposed above a substrate, forming half-pitch sidewall spacers adjacent the features, forming smaller features in a second template layer by using the half-pitch sidewall spacers as a hardmask, forming quarter-pitch sidewall spacers adjacent the smaller features, and forming conductor features from a conductor layer by using the quarter-pitch sidewall spacers as a hardmask. Numerous additional aspects are disclosed.
    Type: Grant
    Filed: October 26, 2010
    Date of Patent: March 25, 2014
    Assignee: SanDisk 3D LLC
    Inventors: Roy E. Scheuerlein, Yoichiro Tanaka
  • Patent number: 8673779
    Abstract: A method of filling of vias and trenches in a dual damascene structure with a filling comprising copper or copper alloy is provided. An electroless deposition filling of the vias with a via filling comprising copper or copper alloy is provided. A trench barrier layer is formed over the via filling with a trench barrier layer comprising Mn or Al. The trench barrier layer is annealed at a temperature that causes a component of the trench barrier layer to pass into the via filling. The trenches are filled with a trench filling comprising copper or copper alloy.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: March 18, 2014
    Assignee: Lam Research Corporation
    Inventors: Hyungsuk A. Yoon, William T. Lee
  • Patent number: 8669177
    Abstract: A semiconductor device includes an insulation film formed above a semiconductor substrate, a conductor containing Cu formed in the insulation film, and a layer film formed between the insulation film and the conductor and formed of a first metal film containing Ti and a second metal film different from the first metal film, a layer containing Ti and Si is formed on the surface of the conductor.
    Type: Grant
    Filed: February 5, 2009
    Date of Patent: March 11, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Takahiro Kouno, Shinichi Akiyama, Hirofumi Watatani, Tamotsu Owada
  • Patent number: 8664110
    Abstract: A method of forming a semiconductor device includes, but is not limited to, the following processes. A first interlayer insulating film is formed. A hole is formed in the first interlayer insulating film. A second interlayer insulating film is formed, which buries the hole and covers the first interlayer insulating film. An interconnect groove is formed by selectively etching the second interlayer insulating film to leave the second interlayer insulating film in the hole. The second interlayer insulating film in the hole is removed.
    Type: Grant
    Filed: January 26, 2012
    Date of Patent: March 4, 2014
    Inventor: Shinobu Terada
  • Patent number: 8653664
    Abstract: A copper interconnect includes a copper layer formed in a dielectric layer, having a first portion and a second portion. A first barrier layer is formed between the first portion of the copper layer and the dielectric layer. A second barrier layer is formed at the boundary between the second portion of the copper layer and the dielectric layer. The first barrier layer is a dielectric layer, and the second barrier layer is a metal oxide layer.
    Type: Grant
    Filed: July 8, 2010
    Date of Patent: February 18, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Nai-Wei Liu, Zhen-Cheng Wu, Cheng-Lin Huang, Po-Hsiang Huang, Yung-Chih Wang, Shu-Hui Su, Dian-Hau Chen, Yuh-Jier Mii
  • Patent number: 8609531
    Abstract: One method includes forming a metal-containing material layer in a trench/via formed in a layer of insulating material, forming a sacrificial material layer above the metal-containing material layer to over-fill the trench/via with the sacrificial material, performing at least one process operation to remove portions of the metal-containing material layer and the sacrificial material layer positioned above an upper surface of the layer of insulating material and outside of the trench/via, removing the sacrificial material from within the trench/via to expose the metal-containing material layer positioned within the trench/via, selectively forming a material layer comprising a noble metal on the exposed metal-containing material without forming the material layer on the layer of insulating material, performing an anneal process to convert the metal-containing material layer into a metal-based silicate based barrier layer and forming a conductive copper structure in at least the trench/via above the material la
    Type: Grant
    Filed: March 6, 2013
    Date of Patent: December 17, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Xunyuan Zhang
  • Patent number: 8609528
    Abstract: Methods for patterning high-density features are described herein. Embodiments of the present invention provide a method comprising patterning a first subset of a pattern, the first subset configured to form a plurality of lines over the substrate, and patterning a second subset of the pattern, the second subset configured to form a plurality of islands over the substrate, wherein said patterning the first subset and said patterning the second subset comprise at least two separate patterning operations.
    Type: Grant
    Filed: August 5, 2011
    Date of Patent: December 17, 2013
    Assignee: Marvell International Ltd.
    Inventors: Pantas Sutardja, Albert Wu, Winston Lee, Peter Lee, Chien-Chuan Wei, Runzi Chang
  • Patent number: 8603913
    Abstract: A method for forming semiconductor devices on a substrate under a porous low-k dielectric layer, wherein features are formed in the porous low-k dielectric layer and wherein a barrier layer is formed over the porous low-k dielectric layer is provided. Contacts are formed in the features. The barrier layer is planarized. A cap layer is formed over the contacts, wherein the forming the cap layer provides metal and organic contaminants in the porous low-k dielectric layer. The metal contaminants are removed from the porous low-k dielectric layer with a first wet process. The organic components are removed from the porous low-k dielectric layer with a second wet process.
    Type: Grant
    Filed: December 20, 2012
    Date of Patent: December 10, 2013
    Assignee: Lam Research Corporation
    Inventors: Nanhai Li, William Thie, Novy Tjokro, Yaxin Wang, Artur Kolics
  • Publication number: 20130320544
    Abstract: A method of producing reduced corrosion interconnect structures and structures thereby formed. A method of producing microelectronic interconnects having reduced corrosion begins with a damascene structure having a first dielectric and a first interconnect. A metal oxide layer is deposited selectively to metal or nonselective over the damascene structure and then thermally treated. The treatment converts the metal oxide over the first dielectric to a metal silicate while the metal oxide over the first interconnect remains as a self-aligned protective layer. When a subsequent dielectric stack is formed and patterned, the protective layer acts as an etch stop, oxidation barrier and ion bombardment protector. The protective layer is then removed from the patterned opening and a second interconnect formed. In a preferred embodiment the metal oxide is a manganese oxide and the metal silicate is a MnSiCOH, the interconnects are substantially copper and the dielectric contains ultra low-k.
    Type: Application
    Filed: May 29, 2012
    Publication date: December 5, 2013
    Applicant: International Business Machines Corporation
    Inventors: Wei Lin, Son Nguyen, Vamsi Paruchuri, Tuan A. Vo
  • Patent number: 8598698
    Abstract: An integrated circuit (IC) package substrate with an embedded stiffener is disclosed. The IC package substrate is a multilayer package substrate that has build-up layers and metal layers stacked up alternately and a core layer in between the multiple build-up and metal layers. The core layer has an embedded stiffener that surrounds a perimeter of the core layer. Metal layers and build-up layers that are stacked alternately are placed on each surface of the core layer. Each metal layer has transmission traces and each build-up layer has vias that connect the transmission traces on one metal layer to the transmission traces on another metal layer. The embedded stiffener in the IC package substrate creates a more stable IC package structure and may eliminate the need to have a stiffener in addition to the IC package substrate.
    Type: Grant
    Filed: July 21, 2010
    Date of Patent: December 3, 2013
    Assignee: Altera Corporation
    Inventor: Ken Beng Lim
  • Patent number: 8586471
    Abstract: A method is disclosed for depositing multiple seed layers for metallic interconnects over a substrate, the substrate includes a patterned insulating layer which comprises an opening surrounded by a field, said opening has sidewalls and top corners, and the method including: depositing a continuous seed layer over the sidewalls, using a first set of deposition parameters; and depositing another seed layer over the substrate, including inside the opening and over a portion of said field, using a second set of deposition parameters, wherein: the second set of deposition parameters includes one deposition parameter which is different from any parameters in the first set, or whose value is different in the first and second sets; the continuous seed layer has a thickness in a range from about 20 ? to not more than 250 ? over the field; and the combined seed layers leave sufficient room for electroplating inside the opening.
    Type: Grant
    Filed: January 17, 2012
    Date of Patent: November 19, 2013
    Inventor: Uri Cohen
  • Patent number: 8586476
    Abstract: A circuit substrate uses post-fed top side power supply connections to provide improved routing flexibility and lower power supply voltage drop/power loss. Plated-through holes are used near the outside edges of the substrate to provide power supply connections to the top metal layers of the substrate adjacent to the die, which act as power supply planes. Pins are inserted through the plated-through holes to further lower the resistance of the power supply path(s). The bottom ends of the pins may extend past the bottom of the substrate to provide solderable interconnects for the power supply connections, or the bottom ends of the pins may be soldered to “jog” circuit patterns on a bottom metal layer of the substrate which connect the pins to one or more power supply terminals of an integrated circuit package including the substrate.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: November 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Daniel Douriet, Francesco Preda, Brian L. Singletary, Lloyd A. Walls
  • Patent number: 8569165
    Abstract: An interconnect structure for integrated circuits for copper wires in integrated circuits and methods for making the same are provided. Mn, Cr, or V containing layer forms a barrier against copper diffusing out of the wires, thereby protecting the insulator from premature breakdown, and protecting transistors from degradation by copper. The Mn, Cr, or V containing layer also promotes strong adhesion between copper and insulators, thus preserving the mechanical integrity of the devices during manufacture and use, as well as protecting against failure by electromigration of the copper during use of the devices and protecting the copper from corrosion by oxygen or water from its surroundings. In forming such integrated circuits, certain embodiments of the invention provide methods to selectively deposit Mn, Cr, V, or Co on the copper surfaces while reducing or even preventing deposition of Mn, Cr, V, or Co on insulator surfaces.
    Type: Grant
    Filed: October 20, 2010
    Date of Patent: October 29, 2013
    Assignee: President and Fellows of Harvard College
    Inventors: Roy Gerald Gordon, Harish B. Bhandari, Yeung Au, Youbo Lin
  • Publication number: 20130270714
    Abstract: A semiconductor memory device includes conductive patterns vertically stacked on the substrate and having pad regions extended further at edge portions of the conductive patterns as the conductive patterns descend from an uppermost conductive pattern to a lowermost conductive pattern, a first contact plug disposed on a first pad region of the lowermost conductive pattern, a buffer conductive pattern disposed on a second pad region positioned above the first pad region, and a second contact plug formed on the buffer conductive pattern.
    Type: Application
    Filed: March 14, 2013
    Publication date: October 17, 2013
    Inventors: Ho-Ki Lee, Gwang-Hyun Baek, Du-Chul Oh, Jin-Kwan Lee, Ki-Jeong Kim
  • Patent number: 8558297
    Abstract: Disclosed herein is an improved memory device, and related methods of manufacturing, wherein the area occupied by a conventional landing pad is significantly reduced to around 50% to 10% of the area occupied by conventional landing pads. This is accomplished by removing the landing pad from the cell structure, and instead forming a conductive via structure that provides the electrical connection from the memory stack or device in the structure to an under-metal layer. By forming only this via structure, rather than separate vias formed on either side of a landing pad, the overall width occupied by the connective via structure from the memory stack to an under-metal layer is substantially reduced, and thus the via structure and under-metal layer may be formed closer to the memory stack (or conductors associated with the stack) so as to reduce the overall width of the cell structure.
    Type: Grant
    Filed: November 30, 2011
    Date of Patent: October 15, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jhon Jhy Liaw, Yu-Jen Wang, Chia-Shiung Tsai
  • Patent number: 8552557
    Abstract: An electronic component package includes a RDL pattern comprising a redistribution pattern terminal. A buildup dielectric layer is formed on the RDL pattern, the buildup dielectric layer having a redistribution pattern terminal aperture exposing the redistribution pattern terminal. An interconnection ball is formed within the redistribution pattern terminal aperture and on the redistribution pattern terminal. The interconnection ball includes an enclosed portion having an outer concave surface within the buildup dielectric layer. The angle of intersection between the outer concave surface of the interconnection ball and the redistribution pattern is less than 90°. This minimizes stress between the interconnection ball and the redistribution pattern which, in turn, minimizes failure of the bond between the interconnection ball and the redistribution pattern.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: October 8, 2013
    Assignee: Amkor Technology, Inc.
    Inventors: Sundeep Nand Nangalia, Richard Raymond Green, Robert Lanzone, Ravi Kiran Chilukuri, Rex Beach Anderson, III
  • Publication number: 20130237052
    Abstract: A method of fabricating an array substrate for an in-plane switching (IPS)-mode liquid crystal display (LCD) device, which includes a common electrode and a pixel electrode with a fine line width, are provided. The formation of the pixel electrode and the common electrode of the array substrate includes depositing two different metal layers and patterning the two different metal layers using a selective etching process. Thus, the pixel electrode and a central common electrode may be formed to have a fine line width so that the IPS-mode LCD device can have an improved aperture ratio.
    Type: Application
    Filed: December 21, 2012
    Publication date: September 12, 2013
    Applicant: LG DISPLAY CO., LTD.
    Inventor: Oh-Nam KWON
  • Patent number: 8530348
    Abstract: A method for forming a capacitor stack is described. In some embodiments of the present invention, a first electrode structure is comprised of multiple materials. A first material is formed above the substrate. A portion of the first material is etched. A second material is formed above the first material. A portion of the second material is etched. Optionally, the first electrode structure receives an anneal treatment. A dielectric material is formed above the first electrode structure. Optionally, the dielectric material receives an anneal treatment. A second electrode material is formed above the dielectric material. Typically, the capacitor stack receives an anneal treatment.
    Type: Grant
    Filed: May 29, 2012
    Date of Patent: September 10, 2013
    Assignees: Intermolecular, Inc., Elpida Memory, Inc.
    Inventors: Sandra G. Malhotra, Hanhong Chen, Wim Y. Deweerd, Edward L. Haywood, Hiroyuki Ode, Gerald Richardson
  • Patent number: 8518822
    Abstract: A method of manufacture of an integrated circuit packaging system includes: providing a base substrate; depositing a through-conductor on the base substrate; depositing a semiconducting layer on the base substrate and around the through-conductor; forming a metal trace connected to the through-conductor; depositing a dielectric surrounding the metal trace; and removing the base substrate.
    Type: Grant
    Filed: March 25, 2009
    Date of Patent: August 27, 2013
    Assignee: Stats Chippac Ltd.
    Inventors: SeungYong Chai, Sang-Ho Lee
  • Patent number: 8501617
    Abstract: In one embodiment, a semiconductor device has a topmost or highest conductive layer with at least one opening. The semiconductor device includes a semiconductor substrate having a cell array region and an interlayer insulating layer covering the substrate having the cell array region. The topmost conductive layer is disposed on the interlayer insulating layer in the cell array region. The topmost conductive layer has at least one opening. A method of fabricating the semiconductor device is also provided. The openings penetrating the topmost metal layer help hydrogen atoms reach the interfaces of gate insulating layers of cell MOS transistors and/or peripheral MOS transistors during a metal alloy process, thereby improve a performance (production yield and/or refresh characteristics) of a memory device.
    Type: Grant
    Filed: August 13, 2010
    Date of Patent: August 6, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Joo-Sung Park, Ae-Ran Hong
  • Patent number: 8492268
    Abstract: An IC including first metal layer having wiring running in a first direction; a second metal layer having wiring running in a second direction perpendicular to the first direction; and a first via layer between the first metal layer and the second metal layer, the first via layer including a viabar interconnecting the first metal layer to the second metal layer at a first location where the first metal layer vertically coincides with the second metal layer and, at a second location, connecting to wiring of the first metal layer but not wiring of the second metal layer.
    Type: Grant
    Filed: March 2, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Stephen E. Greco, Kia S. Low
  • Patent number: 8487439
    Abstract: A circuit board that can decrease thermal stress acting between a semiconductor element and a board in association with temperature alteration and has high mechanical strength (rigidity) as a whole board (including a multilayer wiring layer) is provided. Ceramic base material having a coefficient of thermal expansion close to that of a semiconductor element and inner layer wiring are integrally sintered, and the circuit board is configured so that fine-lined conductor structure corresponding to a multilayer wiring layer in the inner layer wiring has predetermined width, intralayer interval and interlayer interval. Thereby, thermal stress acting between a semiconductor element and the board when the board is exposed to temperature alteration in a condition where it is joined with the semiconductor element is suppressed, rigidity of the board is maintained, and its reliability against temperature cycle is increased.
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: July 16, 2013
    Assignee: NGK Insulators, Ltd.
    Inventors: Makoto Tani, Takami Hirai, Shinsuke Yano, Tsutomu Nanataki
  • Patent number: 8476112
    Abstract: A mechanism is provided for optimizing semiconductor packing in a three-dimensional (3D) very-large-scale integration (VLSI) device. The 3D VLSI device comprises a processor layer coupled, via a first set of coupling devices, to at least one signaling and input/output (I/O) layer. The 3D VLSI device further comprises a power delivery layer coupled, via a second set of coupling devices, to the processor layer. In the 3D VLSI device the power delivery layer is dedicated to only delivering power and does not provide data communication signals to the elements of the three-dimensional VLSI device, and the at least one signaling and input/output (I/O) layer is dedicated to only transmitting the data communication signals to and receiving the data communications signals from the processor layer and does not provide power to the elements of the processor layer.
    Type: Grant
    Filed: July 23, 2012
    Date of Patent: July 2, 2013
    Assignee: International Business Machines Corporation
    Inventors: Harry Barowski, Thomas Brunschwiler, Hubert Harrer, Andreas Huber, Bruno Michel, Tim Niggemeier, Stephan Paredes, Jochen Supper
  • Patent number: 8450197
    Abstract: Contact elements in the contact level of a semiconductor device may be formed on the basis of a selective deposition technique, such as electroless plating, wherein an efficient planarization of the contact level is achieved without subjecting the contact elements to undue mechanical stress. In some illustrative embodiments, an overfilling of the contact openings may be reliably avoided and the planarization of the surface topography is accomplished on the basis of a non-critical polishing process. In other cases, electrochemical etch techniques are applied in combination with a conductive sacrificial current distribution layer in order to remove any excess material of the contact elements without inducing undue mechanical stress.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: May 28, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Axel Preusse, Norbert Schroeder, Uwe Stoeckgen
  • Patent number: 8435882
    Abstract: The present invention may be a semiconductor device including of a fluorinated insulating film and a SiCN film deposited on the fluorinated insulating film directly, wherein a density of nitrogen in the SiCN film decreases from interface between the fluorinated insulating film and the SiCN film. In the present invention, the SiCN film that is highly fluorine-resistant near the interface with the CFx film and has a low dielectric constant as a whole can be formed as a hard mask.
    Type: Grant
    Filed: July 24, 2008
    Date of Patent: May 7, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Takaaki Matsuoka, Kohei Kawamura
  • Publication number: 20130093052
    Abstract: The present application discloses a semiconductor integrated circuit including a substrate having electrical devices formed thereon, a local interconnection layer formed over the substrate, and a global interconnection layer formed over the local interconnection layer. The local interconnection layer has a first set of conductive structures arranged to electrically connect within the individual electrical devices, among one of the electrical devices and its adjacent electrical devices, or vertically between the devices and the global interconnection layer. At least one of the first set of conductive structures is configured to have a resistance value greater than 50 ohms. The global interconnection layer has a second set of conductive structures arranged to electrically interconnect the electrical devices via the first set conductive structures.
    Type: Application
    Filed: October 13, 2011
    Publication date: April 18, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wei Yu MA, Kuo-Ji CHEN, Fang-Tsun CHU, Ta-Pen GUO
  • Patent number: 8420527
    Abstract: Interconnections are formed over an interlayer insulating film which covers MISFETQ1 formed on the principal surface of a semiconductor substrate, while dummy interconnections are disposed in a region spaced from such interconnections. Dummy interconnections are disposed also in a scribing area. Dummy interconnections are not formed at the peripheries of a bonding pad and a marker. In addition, a gate electrode of a MISFET and a dummy gate interconnection formed of the same layer are disposed. Furthermore, dummy regions are disposed in a shallow trench element-isolation region. After such dummy members are disposed, an insulating film is planarized by the CMP method.
    Type: Grant
    Filed: August 3, 2011
    Date of Patent: April 16, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Yasushi Koubuchi, Koichi Nagasawa, Masahiro Moniwa, Youhei Yamada, Toshifumi Takeda
  • Patent number: 8377822
    Abstract: A semiconductor structure having a cap layer formed over a metalized dielectric layer is formed by depositing manganese on the surface of the metalized dielectric layer. The deposited manganese serves as a first cap layer to remove oxidation on the surface of the metalized dielectric layer. The presence of oxidation on the surface of the metalized dielectric layer can be delirious for performance of a device constructed out of the semiconductor structure. A second cap layer is then formed by depositing silicon carbide or nitrogen enriched silicon carbide over the first cap layer.
    Type: Grant
    Filed: May 21, 2010
    Date of Patent: February 19, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazumichi Tsumura, Takamasa Usui
  • Patent number: 8372739
    Abstract: An interconnect structure for an integrated circuit and method of forming the interconnect structure. The method includes depositing a metallic layer containing a reactive metal in an interconnect opening formed within a dielectric material containing a dielectric reactant element, thermally reacting at least a portion of the metallic layer with at least a portion of the dielectric material to form a diffusion barrier primarily containing a compound of the reactive metal from the metallic layer and the dielectric reactant element from the dielectric material, and filling the interconnect opening with Cu metal, where the diffusion barrier surrounds the Cu metal within the opening. The reactive metal can be Co, Ru, Mo, W, or Ir, or a combination thereof. The interconnect opening can be a trench, a via, or a dual damascene opening.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: February 12, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Tadahiro Ishizaka, Satohiko Hoshino, Kuzuhiro Hamamoto, Shigeru Mizuno, Yasushi Mizusawa
  • Patent number: 8334202
    Abstract: A method for fabricating a device includes providing a substrate including at least one contact and applying a dielectric layer over the substrate. The method includes applying a first seed layer over the dielectric layer, applying an inert layer over the seed layer, and structuring the inert layer, the first seed layer, and the dielectric layer to expose at least a portion of the contact. The method includes applying a second seed layer over exposed portions of the structured dielectric layer and the contact such that the second seed layer makes electrical contact with the structured first seed layer. The method includes electroplating a metal on the second seed layer.
    Type: Grant
    Filed: November 3, 2009
    Date of Patent: December 18, 2012
    Assignee: Infineon Technologies AG
    Inventors: Jens Pohl, Hans-Joachim Barth, Gottfried Beer, Rainer Steiner, Werner Robl, Mathias Vaupel
  • Patent number: 8242013
    Abstract: A virtually substrate-less composite power semiconductor device (VSLCPSD) and method are disclosed. The VSLCPSD has a power semiconductor device (PSD), a front-face device carrier (FDC) made out of a carrier material and an intervening bonding layer (IBL). Both carrier and IBL material can be conductive or non-conductive. The PSD has back substrate portion, front semiconductor device portion with patterned front-face device metallization pads and a virtually diminishing thickness TPSD. The FDC has patterned back-face carrier metallizations contacting the front-face device metallization pads, patterned front-face carrier metallization pads and numerous parallelly connected through-carrier conductive vias respectively connecting the back-face carrier metallizations to the front-face carrier metallization pads. The FDC thickness TFDC is large enough to provide structural rigidity to the VSLCPSD.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: August 14, 2012
    Assignee: Alpha & Omega Semiconductor Inc.
    Inventors: Tao Feng, Yueh-Se Ho
  • Patent number: 8211791
    Abstract: A chip structure comprises a substrate, a first built-up layer, a passivation layer and a second built-up layer. The substrate includes many electric devices placed on a surface of the substrate. The first built-up layer is located on the substrate. The first built-up layer is provided with a first dielectric body and a first interconnection scheme, wherein the first interconnection scheme interlaces inside the first dielectric body and is electrically connected to the electric devices. The first interconnection scheme is constructed from first metal layers and plugs, wherein the neighboring first metal layers are electrically connected through the plugs. The passivation layer is disposed on the first built-up layer and is provided with openings exposing the first interconnection scheme. The second built-up layer is formed on the passivation layer.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: July 3, 2012
    Assignee: Megica Corporation
    Inventors: Mou-Shiung Lin, Jin-Yuan Lee, Ching-Cheng Huang
  • Patent number: 8211790
    Abstract: A multilayered circuitized substrate including a plurality of dielectric layers each comprised of a p-aramid paper impregnated with a halogen-free, low moisture absorptivity resin including an inorganic filler but not including continuous or semi-continuous fiberglass fibers as part thereof, and a first circuitized layer positioned on a first of the dielectric layers. A method of making this substrate is also provided.
    Type: Grant
    Filed: March 2, 2009
    Date of Patent: July 3, 2012
    Assignee: Endicott Interconnect Technologies, Inc.
    Inventors: Robert M. Japp, Voya R. Markovich, Kostas I. Papathomas, Mark D. Poliks
  • Patent number: 8183150
    Abstract: The present invention provides semiconductor device formed by an in situ plasma reducing process to reduce oxides or other contaminants, using a compound of nitrogen and hydrogen, typically ammonia, at relatively low temperatures prior to depositing a subsequent layer thereon. The adhesion characteristics of the layers are improved and oxygen presence is reduced compared to the typical physical sputter cleaning process of an oxide layer. This process may be particularly useful for the complex requirements of a dual damascene structure, especially with copper applications.
    Type: Grant
    Filed: October 24, 2008
    Date of Patent: May 22, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Judy H. Huang, Christopher Dennis Bencher, Sudha Rathi, Christopher S. Ngai, Bok Hoen Kim
  • Patent number: 8178435
    Abstract: A system and method for forming post passivation inductors, and related structures, is described. High quality electrical components, such as inductors and transformers, are formed on a layer of passivation, or on a thick layer of polymer over a passivation layer.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: May 15, 2012
    Assignee: Megica Corporation
    Inventor: Mou-Shiung Lin
  • Patent number: 8143156
    Abstract: High density semiconductor devices and methods of fabricating the same are disclosed. Spacer fabrication techniques are utilized to form circuit elements having reduced feature sizes, which may be smaller than the smallest lithographically resolvable element size of the process being used. A first set of spacers may be processed to provide planar and parallel sidewalls. A second set of spacers may be formed on planar and parallel sidewalls of the first set of spacers. The second set of spacers serve as a mask to form one or more circuit elements in a layer beneath the second set of spacers. The steps according to embodiments of the invention allow a recursive spacer technique to be used which results in robust, evenly spaced, spacers to be formed and used as masks for the circuit elements.
    Type: Grant
    Filed: June 20, 2007
    Date of Patent: March 27, 2012
    Assignee: SanDisk Technologies Inc.
    Inventors: George Matamis, James Kai, Takashi Orimoto, Nima Mokhlesi
  • Patent number: 8129267
    Abstract: An alpha particle blocking structure and method of making the structure. The structure includes: a semiconductor substrate; a set of interlevel dielectric layers stacked from a lowermost interlevel dielectric layer closest to the substrate to a uppermost interlevel dielectric layer furthest from the substrate, each interlevel dielectric layer of the set of interlevel dielectric layers including electrically conductive wires, top surfaces of the wires substantially coplanar with top surfaces of corresponding interlevel dielectric layers; an electrically conductive tot final pad contacting a wire pad of the uppermost interlevel dielectric layer; an electrically conductive plating base layer contacting a top surface of the terminal pad; and a copper block on the plating base layer.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: March 6, 2012
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., K. Paul Muller, Kenneth P. Rodbell
  • Patent number: 8119519
    Abstract: A method for making a semiconductor device including at least three interconnection layers sequentially stacked without intervention of a via layer. At least one of the interconnection layers includes an interconnection and a via which connects interconnections provided in interconnection layers underlying and overlying the one interconnection layer.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: February 21, 2012
    Assignee: Rohm Co., Ltd.
    Inventor: Satoshi Kageyama
  • Patent number: 8119524
    Abstract: A first film containing a first metal material having a diffusion preventing function for copper, a second film containing oxygen-contained copper film, a third film containing copper and a second metal material which exhibits a diffusion preventing function for copper by bonding with oxygen, and a fourth film of copper as the main material are formed in an opening formed in an insulating film, and then a barrier layer containing the first metal material, the second metal material and oxygen is formed by thermal processing between the insulating film and the fourth film.
    Type: Grant
    Filed: December 14, 2010
    Date of Patent: February 21, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Michie Sunayama, Noriyoshi Shimizu
  • Patent number: 8105935
    Abstract: A method of manufacturing a semiconductor device includes forming a first insulating film over a semiconductor substrate, forming a trench in the first insulating film, forming a metal interconnect in the trench, exposing the surface of the metal interconnect to a silicon-containing gas, performing a plasma treatment of the surface of the metal interconnect after exposing to the silicon-containing gas, and forming a second insulating film over the metal interconnect.
    Type: Grant
    Filed: March 19, 2008
    Date of Patent: January 31, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Naoki Ohara, Hirofumi Watatani, Tamotsu Owada, Kenichi Yanai
  • Patent number: 8080471
    Abstract: Disclosed herein is an improved memory device, and related methods of manufacturing, wherein the area occupied by a conventional landing pad is significantly reduced to around 50% to 10% of the area occupied by conventional landing pads. This is accomplished by removing the landing pad from the cell structure, and instead forming a conductive via structure that provides the electrical connection from the memory stack or device in the structure to an under-metal layer. By forming only this via structure, rather than separate vias formed on either side of a landing pad, the overall width occupied by the connective via structure from the memory stack to an under-metal layer is substantially reduced, and thus the via structure and under-metal layer may be formed closer to the memory stack (or conductors associated with the stack) so as to reduce the overall width of the cell structure.
    Type: Grant
    Filed: April 5, 2010
    Date of Patent: December 20, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jhon Jhy Liaw, Yu-Jen Wang, Chia-Shiung Tsai
  • Patent number: 8076778
    Abstract: A semiconductor device and related method for fabricating the same include providing a stacked structure including an insulating base layer and lower and upper barrier layers with a conductive layer in between, etching the stacked structure to provide a plurality of conductive columns that each extend from the lower barrier layer, each of the conductive columns having an overlying upper barrier layer cap formed from the etched upper barrier layer, wherein the lower barrier layer is partially etched to provide a land region between each of the conductive lines, forming a liner layer over the etched stacked structure exposing the land region, and etching the liner layer and removing the exposed land region to form a plurality of conductive lines.
    Type: Grant
    Filed: September 30, 2009
    Date of Patent: December 13, 2011
    Assignee: Macronix International Co., Ltd.
    Inventors: Kuo Liang Wei, Hsu Sheng Yu, Hong-Ji Lee
  • Patent number: 8076239
    Abstract: A method of manufacturing a semiconductor device, includes the steps of forming an insulating film on a semiconductor substrate having a silicide layer, forming a hole in the insulating film on the silicide layer, cleaning an inside of the hole and a surface of the silicide layer, forming a titanium layer on a bottom surface and an inner peripheral surface of the hole by a CVD method, forming a copper diffusion preventing barrier metal layer on the titanium layer in the hole, and burying a copper layer in the hole.
    Type: Grant
    Filed: February 15, 2008
    Date of Patent: December 13, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Kazuo Kawamura, Shinichi Akiyama, Satoshi Takesako
  • Patent number: 8071473
    Abstract: An object of the present invention is to obtain a favorable etching shape in etching an organic film formed on a substrate. A semiconductor device manufacturing method according to the present invention comprises the steps of: etching with plasma a silicon-containing film and transferring a pattern of a pattern mask stacked on the silicon-containing film onto the silicon-containing film to form a patterned silicon-containing film; removing the pattern mask using plasma to expose the surface of the silicon-containing film; and etching the surface of the organic film through the patterned silicon-containing film by use of oxygen active species in plasma to form a concave portion on the organic film. Thereafter, the silicon-containing film is sputtered to form silicon-containing protection films on the inner wall surfaces of the concave portion. The concave portion is further etched in its depth direction through the patterned silicon-containing film by use of oxygen active species in plasma.
    Type: Grant
    Filed: August 13, 2008
    Date of Patent: December 6, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kazuki Narishige, Koichi Nagakura
  • Patent number: 8062971
    Abstract: Structures and methods of forming metallization layers on a semiconductor component are disclosed. The method includes etching a metal line trench using a metal line mask, and etching a via trench using a via mask after etching the metal line trench. The via trench is etched only in regions common to both the metal line mask and the via mask.
    Type: Grant
    Filed: March 19, 2008
    Date of Patent: November 22, 2011
    Assignee: Infineon Technologies AG
    Inventors: Philipp Riess, Erdem Kaltalioglu, Hermann Wendt
  • Patent number: 8048811
    Abstract: By forming a hardmask layer in combination with one or more cap layers, undue exposure of a sensitive dielectric material to resist stripping etch ambients may be reduced and integrity of the hardmask may also be maintained so that the trench etch process may be performed with a high degree of etch selectivity during the patterning of openings in a metallization layer of a semiconductor device.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: November 1, 2011
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Frank Feustel, Thomas Werner, Juergen Boemmels
  • Patent number: 8043962
    Abstract: A metal wiring of a semiconductor device includes a semiconductor substrate; an insulating layer provided with a damascene pattern formed over the semiconductor substrate; a diffusion barrier layer which contains a RuO2 layer formed on a surface of the damascene pattern and an Al deposit-inhibiting layer formed on a portion of the RuO2 layer in both-side upper portion of the damascene pattern; and a wiring metal layer including Al formed on the diffusion barrier layer by MOCVD method in order to fill the damascene pattern.
    Type: Grant
    Filed: May 31, 2007
    Date of Patent: October 25, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Dong Ha Jung, Baek Mann Kim, Soo Hyun Kim, Young Jin Lee, Sun Woo Hwang, Jeong Tae Kim
  • Patent number: 8008193
    Abstract: Provided is a manufacturing method for improving the reliability of a semiconductor device having a back electrode. After formation of semiconductor elements on the surface of a silicon substrate, the backside surface thereof, which is opposite to the element formation surface, is subjected to the following steps in a processing apparatus. After deposition of a first metal film over the backside surface of the silicon substrate in a first chamber, it is heat treated to form a metal silicide film. Then, a nickel film is deposited in a third chamber, followed by deposition of an antioxidant conductor film in a second chamber. Heat treatment for alloying the first metal film and the silicon substrate is performed at least prior to the deposition of the nickel film. The first chamber has therefore a mechanism for depositing the first metal film and a lamp heating mechanism.
    Type: Grant
    Filed: May 6, 2009
    Date of Patent: August 30, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Yoshihiro Kainuma, Tatsuhiko Miura, Takashi Sato, Katsuhiro Mitsui, Daisuke Ono
  • Patent number: 8003524
    Abstract: An interconnect structure which includes a plating seed layer that has enhanced conductive material, preferably, Cu, diffusion properties is provided that eliminates the need for utilizing separate diffusion and seed layers. Specifically, the present invention provides an oxygen/nitrogen transition region within a plating seed layer for interconnect metal diffusion enhancement. The plating seed layer may include Ru, Ir or alloys thereof, and the interconnect conductive material may include Cu, Al, AlCu, W, Ag, Au and the like. Preferably, the interconnect conductive material is Cu or AlCu. In more specific terms, the present invention provides a single seeding layer which includes an oxygen/nitrogen transition region sandwiched between top and bottom seed regions. The presence of the oxygen/nitrogen transition region within the plating seed layer dramatically enhances the diffusion barrier resistance of the plating seed.
    Type: Grant
    Filed: July 22, 2008
    Date of Patent: August 23, 2011
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Simon Gaudet, Christian Lavoie, Shom Ponoth, Terry A. Spooner
  • Patent number: 8004087
    Abstract: A multilayered wiring is formed in a prescribed area in an insulating film that is formed on a semiconductor substrate. Dual damascene wiring that is positioned on at least one layer of the multilayered wiring is composed of an alloy having copper as a principal component. The concentration of at least one metallic element contained in the alloy as an added component in vias of the dual damascene wiring is determined according to the differences in the width of the wiring of an upper layer where the vias are connected. Specifically, a larger wiring width in the upper layer corresponds to a higher concentration of at least one metallic element within the connected vias. Accordingly, increases in the resistance of the wiring are minimized, the incidence of stress-induced voids is reduced, and reliability can be improved.
    Type: Grant
    Filed: August 12, 2005
    Date of Patent: August 23, 2011
    Assignee: NEC Corporation
    Inventors: Mari Amano, Munehiro Tada, Naoya Furutake, Yoshihiro Hayashi