At Least One Metallization Level Formed Of Diverse Conductive Layers Patents (Class 438/625)
  • Patent number: 7303988
    Abstract: Methods of forming a multi-level metal line of a semiconductor device are disclosed. One example method includes subsequently stacking first and second metal layers, wherein a conductive etching stopper layer is interposed at an interface between the first and second metal layers; forming first and second metal layer pattern by patterning the first metal layer, the etching stopper layer, and the second metal layer, wherein the first metal layer pattern is formed as a lower metal line; forming a connection contact in form of a plug by selectively etching the second metal layer pattern until the etching stopper layer is exposed; forming an interlayer insulating layer to cover the connection contact and the first metal layer pattern; and exposing an upper surface of the connection contact by planarizing the interlayer insulating layer.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: December 4, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sang Chul Shim
  • Patent number: 7300867
    Abstract: Methods are disclosed for forming dual damascene back-end-of-line (BEOL) interconnect structures using materials for the vias or studs which are different from those used for the line conductors, or using materials for the via liner which are different from those used for the trench liner, or having a via liner thickness different from that of the trench liner. Preferably, a thick refractory metal is used in the vias for improved mechanical strength while using only a thin refractory metal in the trenches to provide low resistance.
    Type: Grant
    Filed: July 5, 2005
    Date of Patent: November 27, 2007
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey Gambino, Edward Cooney, III, Anthony Stamper, William Thomas Motsiff, Michael Lane, Andrew Simon
  • Patent number: 7297630
    Abstract: A method of fabricating a via and a trench is disclosed. A disclosed method comprises: forming a via hole and a trench in a interlayer dielectric layer on a semiconductor substrate where a predetermined device is formed; depositing a thin Hf layer on the substrate; performing a thermal treatment of the substrate to getter oxygen and forming a barrier layer; and filling copper into the via hole and the trench.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: November 20, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jung Joo Kim
  • Patent number: 7288475
    Abstract: The present invention provides a method of forming a rigid interconnect structure, and the device therefrom, including the steps of providing a lower metal wiring layer having first metal lines positioned within a lower low-k dielectric; depositing an upper low-k dielectric atop the lower metal wiring layer; etching at least one portion of the upper low-k dielectric to provide at least one via to the first metal lines; forming rigid dielectric sidewall spacers in at least one via of the upper low-k dielectric; and forming second metal lines in at least one portion of the upper low-k dielectric. The rigid dielectric sidewall spacers may comprise of SiCH, SiC, SiNH, SiN, or SiO2. Alternatively, the via region of the interconnect structure may be strengthened with a mechanically rigid dielectric comprising SiO2, SiCOH, or doped silicate glass.
    Type: Grant
    Filed: November 13, 2006
    Date of Patent: October 30, 2007
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P. Gambino, Anthony K. Stamper
  • Patent number: 7273804
    Abstract: Disclosed is a reinforced bond pad structure having nonplanar dielectric structures and a metallic bond layer conformally formed over the nonplanar dielectric structures. The nonplanar dielectric structures are substantially reproduced in the metallic bond layer so as to form nonplanar metallic structures. Surrounding each of the nonplanar metallic structures is a ring of dielectric material which provides a hard stop during probing of the bond pad so as to limit the amount of bond pad that can be removed during probing.
    Type: Grant
    Filed: January 6, 2005
    Date of Patent: September 25, 2007
    Assignee: International Business Machines Corporation
    Inventors: David Angell, Frederic Beaulieu, Takashi Hisada, Adreanne Kelly, Samuel Roy McKnight, Hiromitsu Miyai, Kevin Shawn Petrarca, Wolfgang Sauter, Richard Paul Volant, Caitlin W. Weinstein
  • Patent number: 7262126
    Abstract: A metal structure (600) for a bonding pad on integrated circuit wafers, which have interconnecting metallization (101) protected by an insulating layer (102) and selectively exposed by windows in the insulating layer. The structure comprises a patterned seed metal layer (104) positioned on the interconnecting metallization exposed by the window so that the seed metal establishes ohmic contact to the metallization as well as a practically impenetrable seal of the interface between the seed metal and the insulating layer. Further, a metal stud (301) is formed on the seed metal and aligned with the window. The metal stud is conformally covered by a barrier metal layer (501) and an outermost bondable metal layer (502).
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: August 28, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Christo P. Bojkov, Michael L. Krumnow
  • Patent number: 7262127
    Abstract: The present invention provides a method for forming a void-free copper damascene structure comprising a substrate having a conductive structure, a first dielectric layer on the substrate, a diffusion barrier layer on the first dielectric layer, and a second dielectric layer on the barrier layer. The method comprises forming via and trench openings developing a photoresist through a first and second hard mask. The first hard mask is laterally etched such that it is eroded to a greater extent from the trench opening with respect to the underlying second dielectric layer. Remaining gap fill layer is removed and the diffusion barrier layer within the via opening is etched to expose the conductive structure. The via and trench openings are plated with a barrier metal and a copper seed layer to obtain copper features that fill the openings and form a void-free copper damascene structure.
    Type: Grant
    Filed: January 21, 2005
    Date of Patent: August 28, 2007
    Assignees: Sony Corporation, Sony Electronics Inc.
    Inventor: Yoshimitsu Ishikawa
  • Patent number: 7256121
    Abstract: The present invention provides a method for forming an interconnect on a semiconductor substrate 100. The method includes forming an opening 230 over an inner surface of the opening 130, the depositing forming a reentrant profile near a top portion of the opening 130. A portion of barrier 230 is etched, which removes at least a portion of the barrier 230 to reduce the reentrant profile. The etching also removes at least a portion of the barrier 230 layer at the bottom of the opening 130.
    Type: Grant
    Filed: December 2, 2004
    Date of Patent: August 14, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Duofeng Yue, Stephan Grunow, Satyavolu S. Papa Rao, Noel M. Russell, Montray Leavy
  • Patent number: 7256141
    Abstract: A structure interfaces dual polycrystalline silicon layers. The structure includes a first layer of polycrystalline silicon and a metal interface layer formed on a surface of the first layer of polycrystalline silicon. The structure further includes a second layer of polycrystalline silicon formed on a surface of the interface layer.
    Type: Grant
    Filed: May 24, 2005
    Date of Patent: August 14, 2007
    Assignees: Advanced Micro Devices, Inc., Spansion LLC
    Inventors: Mark T. Ramsbey, Weidong Qian, Mark Chang, Eric Paton
  • Patent number: 7253092
    Abstract: Disclosed herein is a method of making integrated circuits. In one embodiment the method includes forming tungsten plugs in the integrated circuit and forming electrically conductive interconnect lines in the integrated circuit after formation of the tungsten plugs. At least one tungsten plug is electrically connected to at least one electrically conductive interconnect line. Thereafter at least one electrically conductive interconnect line is contacted with water for a period of time less than 120 minutes.
    Type: Grant
    Filed: June 24, 2003
    Date of Patent: August 7, 2007
    Assignee: NEC Electronics America, Inc.
    Inventors: Elizabeth A. Dauch, John W. Jacobs
  • Publication number: 20070178691
    Abstract: By providing large area metal plates in combination with respective peripheral areas of increased adhesion characteristics, delamination events may be effectively monitored substantially without negatively affecting the overall performance of the semiconductor device during processing and operation. In some illustrative embodiments, dummy vias may be provided at the periphery of a large area metal plate, thereby allowing delamination in the central area while substantially avoiding a complete delamination of the metal plate. Consequently, valuable information with respect to mechanical characteristics of the metallization layer as well as process flow parameters may be efficiently monitored.
    Type: Application
    Filed: September 29, 2006
    Publication date: August 2, 2007
    Inventors: Ralf Richter, Carsten Peters, Holger Schuehrer
  • Patent number: 7250365
    Abstract: A method of fabrication of a semiconductor integrated circuit device, including polishing the entire area of an edge of a wafer, for example, uses three polishing drums in which a polishing drum polishes the upper surface of the edge of the wafer, a polishing drum polishes the central portion of the edge of the wafer and a polishing drum polishes the lower surface of the edge of the wafer, thereby preventing occurrence of obstacles which cause defoliation of thin films on the edge of the wafer.
    Type: Grant
    Filed: June 28, 2005
    Date of Patent: July 31, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Toshiyuki Arai, Ryousei Kawai, Hirofumi Tsuchiyama, Fumiyuki Kanai, Shinichi Nakabayashi
  • Patent number: 7241705
    Abstract: The invention comprises methods of forming a conductive contact to a source/drain region of a field effect transistor, and methods of forming local interconnects. In one implementation, a method of forming a conductive contact to a source/drain region of a field effect transistor includes providing gate dielectric material intermediate a transistor gate and a channel region of a field effect transistor. At least some of the gate dielectric material extends to be received over at least one source/drain region of the field effect transistor. The gate dielectric material received over the one source/drain region is exposed to conditions effective to change it from being electrically insulative to being electrically conductive and in conductive contact with the one source/drain region. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: July 10, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Gurtej S. Sandhu, H. Montgomery Manning
  • Patent number: 7241681
    Abstract: A metal hardmask for use with a Dual Damascene process used in the manufacturing of semiconductor devices. The metal hardmask has advantageous translucent characteristics to facilitate alignment between levels while fabricating a semiconductor device and avoids the formation of metal oxide residue deposits. The metal hardmask comprises a first or primary layer of TiN (titanium nitride) and a second or capping layer of TaN (tantalum nitride).
    Type: Grant
    Filed: January 12, 2006
    Date of Patent: July 10, 2007
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Kaushik Kumar, Lawrence Clevenger, Timothy Dalton, Douglas C. La Tulipe, Andy Cowley, Erdem Kaltalioglu, Jochen Schacht, Andrew H. Simon, Mark Hoinkis, Steffen K. Kaldor, Chih-Chao Yang
  • Patent number: 7232751
    Abstract: According to the manufacturing method of the semiconductor device of the present invention, an oxide film is formed on a metal film formed on a main surface of a semiconductor substrate by exposing the metal film to the oxidizing gas. The oxide film is then reduced in a reducing atmosphere, and a protection film is formed on the surface of the metal film reduced in the reducing step. In this manner, the damage to the surface of the metal film can be prevented.
    Type: Grant
    Filed: February 2, 2005
    Date of Patent: June 19, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yasushi Akasaka, Kazuaki Nakajima, Kiyotaka Miyano, Kyoichi Suguro
  • Patent number: 7232749
    Abstract: An integrated circuit inductance and the fabrication method thereof are disclosed. The manufacture process provided by the present invention fabricates an integrated circuit inductance having a simple production process, low cost, a near equal loop size and good performance, due to making the order of the planarization processes of the inductance loops substantially perpendicular to the wafer and the direction of the current of the inductance substantially in parallel with the wafer, by way of the manufacture process of the plugs and the conductive wires of the integrated-circuit process.
    Type: Grant
    Filed: January 14, 2005
    Date of Patent: June 19, 2007
    Assignee: Advanced Semiconductor Engineering, Inc.
    Inventor: Min-Lung Huang
  • Patent number: 7229913
    Abstract: A method for forming a via in an integrated circuit packaging substrate includes embedding an interfacial adhesion layer at a base of a via, and heating the materials at the base of the via. Embedding the interfacial adhesion layer further includes placing a conductive material over the interfacial adhesion layer. An interfacial layer material is deposited within at the base of opening and a conductive material is placed over the interfacial material. The interfacial layer material is a material that will diffuse into the conductive material at the temperature produced by heating the materials at the base of the via opening. Heating the materials at the base of the via opening includes directing energy from a laser at the base of the opening. An integrated circuit packaging substrate includes a first layer of conductive material, and a second layer of conductive material.
    Type: Grant
    Filed: September 25, 2003
    Date of Patent: June 12, 2007
    Assignee: Intel Corporation
    Inventors: Kum Foo Leong, Chee Key Chung, Kian Sin Sim
  • Patent number: 7226854
    Abstract: Methods of forming metal lines in semiconductor devices are disclosed. One example method may include forming lower metal lines and forming an insulation layer on the lower metal lines; etching said insulation layer to a depth; and depositing a material for upper metal lines on the entire surface of said insulation layer and planarizing the material for the upper metal lines to form said upper metal lines. The example method may also include exposing the lower metal lines by etching said upper metal lines and the insulation layer and depositing a material for contact plugs on the entire surfaces of said upper metal lines and said insulation layer and planarizing the material for said contact plugs to form the contact plugs.
    Type: Grant
    Filed: December 26, 2003
    Date of Patent: June 5, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Cheolsoo Park
  • Patent number: 7223685
    Abstract: The present application discloses process comprising providing a wafer, the wafer comprising an inter-layer dielectric (ILD) having a feature therein, an under-layer deposited on the ILD, and a barrier layer deposited on the under-layer, and a conductive layer deposited in the feature, placing the wafer in an electrolyte, such that at least the barrier layer is immersed in the electrolyte, and applying an electrical potential between the electrode and the wafer.
    Type: Grant
    Filed: June 23, 2003
    Date of Patent: May 29, 2007
    Assignee: Intel Corporation
    Inventors: Tatyana N. Andryushchenko, Anne E. Miller
  • Patent number: 7214602
    Abstract: A method of forming a conductive structure is disclosed. The method includes forming an interconnect in a substrate, and forming a layer of iridium on the interconnect. The layer of iridium has a thickness of less than six hundred angstroms. The method further includes annealing the layer of iridium, forming a dielectric layer on the layer of iridium, and forming a conductive layer on the dielectric layer.
    Type: Grant
    Filed: May 18, 2004
    Date of Patent: May 8, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Eugene P. Marsh
  • Patent number: 7211508
    Abstract: Methods for processing substrate to deposit barrier layers of one or more material layers by atomic layer deposition are provided. In one aspect, a method is provided for processing a substrate including depositing a metal nitride barrier layer on at least a portion of a substrate surface by alternately introducing one or more pulses of a metal containing compound and one or more pulses of a nitrogen containing compound and depositing a metal barrier layer on at least a portion of the metal nitride barrier layer by alternately introducing one or more pulses of a metal containing compound and one or more pulses of a reductant. A soak process may be performed on the substrate surface before deposition of the metal nitride barrier layer and/or metal barrier layer.
    Type: Grant
    Filed: June 18, 2004
    Date of Patent: May 1, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Hua Chung, Rongjun Wang, Nirmalya Maity
  • Patent number: 7208402
    Abstract: An apparatus comprising: a die having a top metal layer, the top metal layer comprised of at least a first metal line and a second metal line; a passivation layer covering the top metal layer; a C4 bump on the passivation layer; and a first passivation opening and a second passivation opening in the passivation layer, the first passivation opening to connect the first metal line to the C4 bump, and the second passivation opening to connect the second metal line to the C4 bump.
    Type: Grant
    Filed: June 3, 2005
    Date of Patent: April 24, 2007
    Assignee: Intel Corporation
    Inventors: Mark T. Bohr, Robert W. Martell
  • Patent number: 7202157
    Abstract: A method for forming a metallic interconnect in a semiconductor device is disclosed. An example method forms an IDL on a substrate including predetermined devices, forms a via hole in the IDL, depositing a first metal diffusion preventive layer and a metal layer to form a via plug on the IDL, and performs a planarization process using the first metal diffusion preventive layer using as an etching stop layer. In addition, the example method forms a metallic interconnect on the first metal diffusion preventive layer, deposits the other metal diffusion preventive layer on the metallic interconnect, and etches a predetermined part of first and second metal diffusion preventive layers and the metallic interconnect using a mask pattern.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: April 10, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Yong Soo Ahn
  • Patent number: 7199040
    Abstract: A barrier layer structure includes a first dielectric layer forming on a conductive layer and having a via being formed in the first dielectric layer, wherein the via in the first dielectric layer is connected to the conductive layer. A first metal layer is steppedly covered on the first dielectric layer. A layer of metallized materials is steppedly covered on the first metal layer, but the layer of metallized materials does not cover the first metal layer above the via bottom connected to the conductive layer in the dielectric layer. A second metal layer is steppedly covered on the layer of metallized materials, and the second metal layer is covered the first metal layer above the via bottom connected to the conductive layer in the dielectric layer. The barrier layer structure will have lower resistivity in the bottom via of the first dielectric layer and it is capable of preventing copper atoms from diffusing into the dielectric layer.
    Type: Grant
    Filed: May 10, 2004
    Date of Patent: April 3, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Yu-Ru Yang, Chien-Chung Huang
  • Patent number: 7199043
    Abstract: Disclosed in a method of forming a copper wiring in a semiconductor device. A copper layer buries a damascene pattern in which an interlayer insulating film of a low dielectric constant. The copper layer is polished by means of a chemical mechanical polishing process to form a copper wiring within a damascene pattern. At this time, the chemical mechanical polishing process is overly performed so that the top surface of the copper wiring is concaved and is lower than the surface of the interlayer insulating film of the low dielectric constant neighboring it. Furthermore, an annealing process is performed so that the top surface of the copper wiring is changed from the concaved shape to a convex shape while stabilizing the copper wiring. A copper anti-diffusion insulating film is then formed on the entire structure including the top surface of the copper wiring having the convex shape.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: April 3, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Kyun Park
  • Patent number: 7189638
    Abstract: A method for manufacturing a metal structure using a trench includes etching a semiconductor substrate to form a trench, depositing a seed layer over the semiconductor substrate including in the trench, stacking an insulating layer over the seed layer, removing a portion of the insulating layer to expose a portion of the seed layer at a bottom of the trench, filling the trench with a metal material, and removing the seed layer and the insulating layer on the semiconductor substrate. As a result, a subsequent process in forming a multi-layered structure may be easily carried out, thereby simplifying a manufacturing process.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: March 13, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-sik Shim, Kyung-won Na, Sang-on Choi, Hae-seok Park
  • Patent number: 7172962
    Abstract: On a substrate are sequentially formed a first interconnection 203, a diffusion barrier film 205 and a second insulating film 207, and on the upper surface of the second insulating film 207 is then formed a sacrificial film 213. Next, a via hole 211 and an interconnection trench 217 are formed, and on the sacrificial film 213 are then formed a barrier metal film 219 and a copper film 221. CMP for removing the extraneous copper film 221 and barrier metal film 219 are conducted in a two-step process, i. e., the first polishing where polishing is stopped on the surface of the barrier metal film 219 and the second polishing where the remaining barrier metal film 219 and the tapered sacrificial film 213 are polished.
    Type: Grant
    Filed: December 1, 2003
    Date of Patent: February 6, 2007
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yoshio Okayama, Hayato Nakashima, Yoshinari Ichihashi
  • Patent number: 7169698
    Abstract: The present invention provides a method of forming a rigid interconnect structure, and the device therefrom, including the steps of providing a lower metal wiring layer having first metal lines positioned within a lower low-k dielectric; depositing an upper low-k dielectric atop the lower metal wiring layer; etching at least one portion of the upper low-k dielectric to provide at least one via to the first metal lines; forming rigid dielectric sidewall spacers in at least one via of the upper low-k dielectric; and forming second metal lines in at least one portion of the upper low-k dielectric. The rigid dielectric sidewall spacers may comprise of SiCH, SiC, SiNH, SiN, or SiO2. Alternatively, the via region of the interconnect structure may be strengthened with a mechanically rigid dielectric comprising SiO2, SiCOH, or doped silicate glass.
    Type: Grant
    Filed: January 14, 2004
    Date of Patent: January 30, 2007
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P. Gambino, Anthony K. Stamper
  • Patent number: 7160819
    Abstract: A method for selective ALD of ZnO on a wafer preparing a silicon wafer; patterning the silicon wafer with a blocking agent in selected regions where deposition of ZnO is to be inhibited, wherein the blocking agent is taken from a group of blocking agents includes isopropyl alcohol, acetone and deionized water; depositing a layer of ZnO on the wafer by ALD using diethyl zinc and H2O at a temperature of between about 140° C. to 170° C.; and removing the blocking agent from the wafer.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: January 9, 2007
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: John F. Conley, Jr., Yoshi Ono, David R. Evans
  • Patent number: 7157304
    Abstract: An apparatus including a volume of phase change material disposed between a first conductor and a second conductor on a substrate, and a plurality of electrodes coupled to the volume of phase change material and the first conductor. A method including introducing, over a first conductor on a substrate, a plurality of electrodes coupled to the first conductor, introducing a phase change material over the plurality of electrodes and in electrical communication with the plurality of electrodes, and introducing a second conductor over the phase change material and coupled to the phase change material.
    Type: Grant
    Filed: May 5, 2004
    Date of Patent: January 2, 2007
    Assignee: Ovonyx, Inc.
    Inventors: Tyler A. Lowrey, Manzur Gill
  • Patent number: 7153722
    Abstract: A method of manufacturing a photovoltaic device includes: a step of fixing thin metal wires which are coated with electroconductive resin, to a principal surface of a photovoltaic member; a step of heating the photovoltaic member to which the thin metal wires are fixed; and a step of pressing an elastic film against the photovoltaic member and the thin metal wires while the pressure of gas on the side opposite the photovoltaic member across the elastic film is larger than the pressure of gas on the photovoltaic member side. The thin metal wires to serve as a collector electrode provided in a photovoltaic member are prevented from bending. This makes it possible to produce, with high yield, photovoltaic devices that have no fear of being reduced in output by bent thin metal wires.
    Type: Grant
    Filed: June 2, 2004
    Date of Patent: December 26, 2006
    Assignee: Canon Kabushiki Kaisha
    Inventor: Koichi Shimizu
  • Patent number: 7151051
    Abstract: An interconnect structure for an integrated circuit having several levels of conductors is disclosed. Dielectric pillars for mechanical support are formed between conductors in adjacent layers at locations that do not have vias. The pillars are particularly useful with low-k ILD or air dielectric.
    Type: Grant
    Filed: May 31, 2005
    Date of Patent: December 19, 2006
    Assignee: Intel Corporation
    Inventors: Jun He, Jose Maiz, Hyun-Mog Park
  • Patent number: 7148137
    Abstract: A method of forming a metal line in a semiconductor device. The method includes forming an insulating interlayer over a substrate provided with a lower metal line, and forming a hole exposing the lower metal line. The method also includes forming a first metal layer on the insulating interlayer including an inside of the hole and the lower metal line, forming a conductor layer on the first metal layer to fill the hole, and etching back the conductor layer to form a plug until the first metal layer is exposed. The method further includes stacking a second metal layer and a third metal layer on the first metal layer, and patterning the second metal layer, the third metal layer, and the first metal layer to form an upper metal line overlapped with the plug using an etch mask defining the upper metal line.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: December 12, 2006
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jung Ho Kim
  • Patent number: 7135400
    Abstract: A method for avoiding resist poisoning during a damascene process is disclosed. A semiconductor substrate is provided with a low-k dielectric layer (k?2.9) thereon, a SiC layer over the low-k dielectric layer, and a blocking layer over the SiC layer. The blocking layer is used to prevent unpolymerized precursors diffused out from the low-k dielectric layer from contacting an overlying resist. A bottom anti-reflection coating (BARC) layer is formed on the blocking layer. A resist layer is formed on the BARC layer, the resist layer having an opening to expose a portion of the BARC layer. A damascene structure is formed in the low-k dielectric layer by etching the BARC layer, the blocking layer, the SiC layer, and the low-k dielectric layer through the opening.
    Type: Grant
    Filed: April 26, 2004
    Date of Patent: November 14, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Liang Lien, Charlie C J Lee, Chih-Ning Wu, Jain-Hon Chen
  • Patent number: 7105434
    Abstract: One embodiment of the present invention is a method for making metallic interconnects, which method is utilized at a stage of processing a substrate having a patterned insulating layer which includes at least one opening and a field surrounding the at least one opening, the field and the at least one opening being ready for depositing one or more seed layers, which method includes steps of: (a) depositing by an ALD technique at least an initial portion of a substantially conformal seed layer on the field and inside surfaces of the at least one opening, wherein said at least one opening has a width of less than about 0.
    Type: Grant
    Filed: December 28, 2004
    Date of Patent: September 12, 2006
    Inventor: Uri Cohen
  • Patent number: 7101784
    Abstract: The invention provides a method of forming a wiring layer in an integrated circuit structure that forms an organic insulator, patterns the insulator, deposits a liner on the insulator, and exposes the structure to a plasma to form pores in the insulator in regions next to the liner. The liner is formed thin enough to allow the plasma to pass through the liner and form the pores in the insulator. During the plasma processing, the plasma passes through the liner without affecting the liner. After the plasma processing, additional liner material may be deposited. After this, a conductor is deposited and excess of portions of the conductor are removed from the structure such that the conductor only remains within patterned portions of the insulator. This method produces an integrated circuit structure that has an organic insulator having patterned features, a liner lining the patterned features, and a conductor filling the patterned features.
    Type: Grant
    Filed: May 10, 2005
    Date of Patent: September 5, 2006
    Assignee: International Business Machines Corporation
    Inventors: Lawrence A. Clevenger, Stephen E. Greco, Keith T. Kwietniak, Soon-Cheon Seo, Chih-Chao Yang, Yun-Yu Wang, Kwong H. Wong
  • Patent number: 7094684
    Abstract: It is an object of the present invention to suppress an influence of voltage drop due to wiring resistance to make an image quality of a display device uniform. In addition, it is also an object of the present invention to suppress delay due to a wiring for electrically connecting a driving circuit portion to an input/output terminal to improve an operation speed in the driving circuit portion. In the present invention, a wiring including copper for realizing lowered wiring resistance, subjected to microfabrication, is used as a wiring used for a semiconductor device and a barrier conductive film for preventing diffusion of copper is provided for a TFT as a part of the wiring including copper to form the wiring including copper without diffusion of copper into a semiconductor layer of the TFT. The wiring including copper is a wiring including a laminate film of at least a conductive film containing copper as its main component, subjected to microfabricaiton, and the barrier conductive film.
    Type: Grant
    Filed: September 11, 2003
    Date of Patent: August 22, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Mitsuaki Osame
  • Patent number: 7094681
    Abstract: Disclosed is a semiconductor device comprising a semiconductor substrate, a porous insulating film formed above the semiconductor substrate, the porous insulating film having a relative dielectric constant of 2.5 or less and including a first insulating material, at least a portion of pores in the porous insulating film having on the inner wall thereof a layer of a second insulating material which differs in nature from the first insulating material, and a plug and/or a wiring layer buried in the porous insulating film.
    Type: Grant
    Filed: November 6, 2003
    Date of Patent: August 22, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Keiji Fujita, Rempei Nakata, Hideshi Miyajima
  • Patent number: 7074709
    Abstract: Methods and compositions are disclosed for modifying a semiconductor interconnect layer to reduce migration problems while minimizing resistance increases induced by the modifications. One method features creating trenches in the interconnect layer and filling these trenches with compositions that are less susceptible to migration problems. The trenches may be filled using traditional vapor deposition methods, or electroplating, or alternately by using electroless plating methods. Ion implantation may also be used as another method in modifying the interconnect layer. The methods and compositions for modifying interconnect layers may also be limited to the via/interconnect interface for improved performance. A thin seed layer may also be placed on the semiconductor substrate prior to applying the interconnect layer. This seed layer may also incorporate similar dopant and alloying materials in the otherwise pure metal.
    Type: Grant
    Filed: November 6, 2002
    Date of Patent: July 11, 2006
    Assignee: Texas Instruments Incorporated
    Inventor: Bradley Scott Young
  • Patent number: 7071510
    Abstract: The present invention relates to a capacitor of a semiconductor memory cell and a method of manufacturing the same wherein a capacitor includes a first insulation layer having a buried contact hole, formed on a semiconductor substrate, and a buried contact plug filling a portion of the buried contact hole. A diffusion barrier spacer is formed on an inner surface of the buried contact hole above the buried contact plug. A second insulation layer is formed, having a through hole larger than the buried contact hole, for exposing the diffusion barrier spacer and a top surface of the contact plug. A barrier layer is formed on the through hole and a lower electrode is formed on the barrier layer. A dielectric layer is formed on the lower electrode and an upper surface of the second insulation layer and an upper electrode is formed on the dielectric layer.
    Type: Grant
    Filed: March 15, 2005
    Date of Patent: July 4, 2006
    Assignee: Samsung Electronics Co, Ltd.
    Inventor: Kong-Soo Lee
  • Patent number: 7041586
    Abstract: A semiconductor device includes a multilayer interconnection structure including an organic interlayer insulation film in which a conductor pattern is formed by a damascene process, wherein the organic interlayer insulation film carries thereon an organic spin-on-glass film.
    Type: Grant
    Filed: March 26, 2002
    Date of Patent: May 9, 2006
    Assignee: Fujitsu Limited
    Inventors: Hiroshi Kudo, Masanobu Ikeda, Kenichi Watanabe, Yoshiyuki Ohkura
  • Patent number: 7041595
    Abstract: A barrier layer material and method of forming the same is disclosed. The method includes depositing a graded metal nitride layer in a single deposition chamber, with a high nitrogen content at a lower surface and a high metal content at an upper surface. In the illustrated embodiment, a metal nitride with a 1:1 nitrogen-to-metal ratio is initially deposited into a deep void, such as a via or trench, by reactive sputtering of a metal target in nitrogen atmosphere. After an initial thickness is deposited, flow of nitrogen source gas is reduced and sputtering continues, producing a metal nitride with a graded nitrogen content. After the nitrogen is stopped, deposition continues, resulting in a substantially pure metal top layer. This three-stage layer includes a highly conductive top layer, upon which copper can be directly electroplated without a separate seed layer deposition.
    Type: Grant
    Filed: April 2, 2002
    Date of Patent: May 9, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Dinesh Chopra
  • Patent number: 7026238
    Abstract: Embodiments of the present invention provide a process sequence and related hardware for filling a patterned feature on a substrate with a metal, such as copper. The sequence comprises first forming a reliable barrier layer in the patterned feature to prevent diffusion of the metal into the dielectric layer through which the patterned feature is formed. One sequence comprises forming a generally conformal barrier layer over a patterned dielectric, etching the barrier layer at the bottom of the patterned feature, depositing a second barrier layer, and then filling the patterned feature with a metal, such as copper.
    Type: Grant
    Filed: January 17, 2002
    Date of Patent: April 11, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Ming Xi, Paul Frederick Smith, Ling Chen, Michael X. Yang, Mei Chang, Fusen Chen, Christophe Marcadal, Jenny C. Lin
  • Patent number: 7014957
    Abstract: The subject invention is a system, apparatus and/or method of forming interconnects on a semiconductor wafer. Particularly, the subject invention provides interconnect routing using parallel lines on a semiconductor wafer. The method includes producing a plurality of spaced, parallel interconnects on a wafer, and producing interruptions in selective ones of the plurality of interconnects where the connection should be disrupted. Preferably, the plurality of spaced, parallel lines are formed over the entire die region of the wafer and are spaced from one another by a predetermined width. In one form, a mask having a plurality of spaced, parallel lines may be used.
    Type: Grant
    Filed: December 31, 2002
    Date of Patent: March 21, 2006
    Assignee: LSI Logic Corporation
    Inventors: Paymen Zarkesh-Ha, Kenneth J Doniger, William M. Loh
  • Patent number: 7005369
    Abstract: An integrated circuit with circuits under a bond pad. In one embodiment, the integrated circuit comprises a substrate, a top conductive layer, one or more intermediate conductive layers, layers of insulating material and devices. The top conductive layer has a at least one bonding pad and a sub-layer of relatively stiff material. The one or more intermediate conductive layers are formed between the top conductive layer and the substrate. The layers of insulating material separate the conductive layers. Moreover, one layer of the layers of insulating material is relatively hard and is located between the top conductive layer and an intermediate conductive layer closest to the top conductive layer. The devices are formed in the integrated circuit. In addition, at least the intermediate conductive layer closest to the top conductive layer is adapted for functional interconnections of select devices under the bond pad.
    Type: Grant
    Filed: October 31, 2003
    Date of Patent: February 28, 2006
    Assignee: Intersil American Inc.
    Inventors: John T. Gasner, Michael D. Church, Sameer D. Parab, Paul E. Bakeman, Jr., David A. Decrosta, Robert L. Lomenick, Chris A. McCarty
  • Patent number: 6995087
    Abstract: An integrated circuit manufacturing method includes providing a base, forming a first conductor, forming a first barrier layer, forming a first dielectric layer, and forming a masking layer. The method further including forming a first via opening in the masking layer, forming a first trench opening in the masking layer, and simultaneously forming a second via opening in a layer under the masking layer, and forming a second trench opening through the masking layer and in the layer under the masking layer and simultaneously forming a third via opening in another layer under the masking layer. The method further including removing the first barrier layer using the third via opening and the masking layer to form a trench and a via, and filling the trench and the via with a conductor to form a trench and via conductor in contact with the first conductor.
    Type: Grant
    Filed: December 23, 2002
    Date of Patent: February 7, 2006
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Wuping Liu, Juan Boon Tan, Bei Chao Zhang, Alan Cuthbertson
  • Patent number: 6987063
    Abstract: A metal-containing semiconductor layer having a high dielectric constant is formed with a method that avoids inclusion of contaminant elements that reduce dielectric constant of metals. The metal-containing semiconductor layer is formed overlying a substrate in a chamber. A precursor is introduced to deposit at least a portion of the metal-containing semiconductor layer. The precursor contains one or more elements that, if allowed to deposit in the metal-containing layer, would become impurity elements. A reactant gas is used to purify the metal-containing layer by removing impurity elements from the metal-containing layer which were introduced into the chamber by the precursor.
    Type: Grant
    Filed: June 10, 2004
    Date of Patent: January 17, 2006
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Olubunmi O. Adetutu, James K. Schaeffer, Dina H. Triyoso
  • Patent number: 6979637
    Abstract: A method and structure for controlling the surface properties in the dielectric layers in a thin film component can be provided for improving the trimming process of thin film element. A metal fill is configured with a uniform fill pattern beneath an array of thin film resistors, and can comprise a plurality of smaller features or peaks providing a finer fill pattern that improves the control of the topology of the dielectric layers. The fill pattern can be configured in various manners, such as fill patterns parallel to the thin film resistor, fill patterns perpendicular to the thin film resistor, or fill patterns comprising a checkerboard-like configuration.
    Type: Grant
    Filed: September 2, 2003
    Date of Patent: December 27, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Eric W. Beach, Walter B. Meinel, Eric L. Hoyt
  • Patent number: 6974767
    Abstract: A method of fabricating a semiconductor device, having a Cu—Zn alloy thin film (30) formed on a Cu surface (20) by electroplating the Cu surface (20) in a unique chemical solution containing salts of zinc (Zn) and copper (Cu), their complexing agents, a pH adjuster, and surfactants; and a semiconductor device thereby formed. The method controls the parameters of pH, temperature, and time in order to form a uniform Cu—Zn alloy thin film (30) for reducing electromigration in Cu interconnect lines by decreasing the drift velocity therein which decreases the Cu migration rate in addition to decreasing the void formation rate, for improving Cu interconnect reliability, and for increasing corrosion resistance.
    Type: Grant
    Filed: February 21, 2002
    Date of Patent: December 13, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Sergey Lopatin
  • Patent number: 6974768
    Abstract: A process for enhancing the adhesion of directly plateable materials to an underlying dielectric is demonstrated, so as to withstand damascene processing. Using diffusion barriers onto which copper can be deposited facilitates conventional electrolytic processing. An ultra-thin adhesion layer is applied to a degassed, pre-cleaned substrate. The degassed and pre-cleaned substrate is exposed to a precursor gas containing the adhesion layer, optionally deposited by a plasma-assisted CVD process, resulting in the deposition of an adhesion layer inside the exposed feature. The treated wafer is then coated with a diffusion barrier material, such as ruthenium, so that the adhesion layer reacts with incoming diffusion barrier atoms. The adhesion layer may be selectively bias-sputter etched prior to the deposition of the diffusion barrier layer. A copper layer is then deposited on the diffusion barrier layer.
    Type: Grant
    Filed: January 15, 2003
    Date of Patent: December 13, 2005
    Assignee: Novellus Systems, Inc.
    Inventor: Sridhar K. Kailasam