Having Planarization Step Patents (Class 438/631)
  • Patent number: 7994048
    Abstract: A through electrode that offers excellent performance and can be manufactured through a simple process is to be provided. In a silicon spacer including a silicon substrate, an insulative thick film is provided so as to be in contact with a surface of the silicon substrate and a side wall of a through hole penetrating the silicon substrate. An upper surface of a through plug is retreated to a lower level than an interface between the silicon substrate and the insulative thick film, thus to define a height gap. A first bump is then formed, which is connected to the retreated surface of the through plug and has a larger diameter than that of the through plug at the upper surface of the insulative thick film.
    Type: Grant
    Filed: June 20, 2007
    Date of Patent: August 9, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Masahiro Komuro
  • Patent number: 7985675
    Abstract: A semiconductor device includes: a semiconductor substrate; a first insulating film (third insulating film 24) formed on the semiconductor substrate, having a first trench (second interconnect trench 28), and having a composition ratio varying along the depth from an upper face of the first insulating film; and a first metal interconnect (second metal interconnect 25) filling the first trench (second interconnect trench 28). The mechanical strength in an upper portion of the first insulating film (third insulating film 24) is higher than that in the other portion of the insulating film (third insulating film 24).
    Type: Grant
    Filed: October 15, 2008
    Date of Patent: July 26, 2011
    Assignee: Panasonic Corporation
    Inventors: Kotaro Nomura, Makoto Tsutsue
  • Patent number: 7977233
    Abstract: A semiconductor device has first wiring layers 30 and a plurality of dummy wiring layers 32 that are provided on the same level as the first wiring layers 30. The semiconductor device defines a row direction, and first virtual linear lines L1 extending in a direction traversing the row direction. The row direction and the first virtual linear lines L1 define an angle of 2-40 degrees, and the dummy wiring layers 32 are disposed in a manner to be located on the first virtual linear lines L1. The semiconductor device also defines a column direction perpendicular to the row direction, and second virtual linear lines L2 extending in a direction traversing the column direction. The column direction and the second virtual linear lines L2 define an angle of 2-40 degrees, and the dummy wiring layers 32 are disposed in a manner to be located on the second virtual linear lines L2.
    Type: Grant
    Filed: August 16, 2010
    Date of Patent: July 12, 2011
    Assignee: Seiko Epson Corporation
    Inventors: Katsumi Mori, Kei Kawahara, Yoshikazu Kasuya
  • Patent number: 7968447
    Abstract: A semiconductor device may include plugs disposed in a zigzag pattern, interconnections electrically connected to the plugs and a protection pattern which is interposed between the plugs and the interconnections to selectively expose the plugs. The interconnections may include a connection portion which is in contact with plugs selectively exposed by the protection pattern. A method of manufacturing a semiconductor device includes, after forming a molding pattern and a mask pattern, selectively etching a protection layer using the mask pattern to form a protection pattern exposing a plug.
    Type: Grant
    Filed: May 13, 2009
    Date of Patent: June 28, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Ho Lee, Jae-Hwang Sim, Jae-Kwan Park, Mo-Seok Kim, Jong-Min Lee, Dong-Sik Lee
  • Patent number: 7951712
    Abstract: Provided are an interconnection of a semiconductor device which includes a capping layer and a method for forming the interconnection. The interconnection of the semiconductor device is a copper damascene interconnection where the capping layer is formed as a dual layer of a silicon nitride layer and silicon carbide layer on a copper layer processed by chemical mechanical polishing (CMP). Therefore, it is possible to maintain a high etching selectivity and a low dielectric constant of the silicon carbide layer while providing superior leakage suppression.
    Type: Grant
    Filed: September 9, 2009
    Date of Patent: May 31, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-woo Lee, Soo-geun Lee, Ki-chul Park, Won-sang Song
  • Patent number: 7947596
    Abstract: A semiconductor device according to this invention comprises a substrate 100 in which semiconductor elements are formed, a first conductor 301 at least a portion of the peripheral surface of which is made of a material comprising copper as a main ingredient, and a first insulative diffusion barrier layer 203 covering at least a portion of the first conductor 301. The first insulative diffusion barrier layer 203 is formed by using a gas mixture at least containing an alkoxy silane represented by the general formula (RO)nSiH4?n (n is an integer in a range from 1 to 3, R represents an alkyl group, an aryl group or a derivative thereof), and an oxidative gas by a plasma CVD. Thus, a semiconductor device comprising copper wiring of high reliability and with less wiring delay time can be provided.
    Type: Grant
    Filed: September 26, 2006
    Date of Patent: May 24, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Kenichi Takeda, Daisuke Ryuzaki, Kenji Hinode, Toshiyuki Mine
  • Patent number: 7939948
    Abstract: Microelectronic workpieces that have bump sites over bond-pads and methods of fabricating such bump sites. One embodiment of such a workpiece, for example, includes a substrate having a plurality of microelectronic dies comprising integrated circuitry and bond-pads, such as copper bond-pads, electrically coupled to the integrated circuitry. The workpiece further includes (a) a dielectric structure having a plurality of openings with sidewalls projecting from corresponding bond-pads, and (b) a plurality of caps over corresponding bond-pads. The individual caps can include a discrete portion of a barrier layer attached to the bond-pads and the sidewalls of the openings, and a discrete portion of a cap layer on the barrier layer. The caps are electrically isolated from each other and self-aligned with corresponding bond-pads without forming a mask layer over the cap layer.
    Type: Grant
    Filed: March 23, 2009
    Date of Patent: May 10, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Sanh D. Tang, Mark E. Tuttle, Keith R. Cook
  • Patent number: 7935623
    Abstract: In a method for fabricating a semiconductor device, first, a first metal interconnect is formed in an interconnect formation region, and a second metal interconnect is formed in a seal ring region. Subsequently, by chemical mechanical polishing or etching, the upper portions of the first metal interconnect and the second metal interconnect are recessed to form recesses. A second insulating film filling the recesses is then formed above a substrate, and the upper portion of the second insulating film is planarized. Next, a hole and a trench are formed to extend halfway through the second insulating film, and ashing and polymer removal are performed. Subsequently to this, the hole and the trench are allowed to reach the first metal interconnect and the second metal interconnect.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: May 3, 2011
    Assignee: Panasonic Corporation
    Inventor: Shunsuke Isono
  • Patent number: 7928003
    Abstract: A method of forming an interconnect structure comprising: forming a sacrificial inter-metal dielectric (IMD) layer over a substrate, wherein the sacrificial IMD layer comprising a carbon-based film, such as amorphous carbon, advanced patterning films, porous carbon, or any combination thereof; forming a plurality of metal interconnect lines within the sacrificial IMD layer; removing the sacrificial IMD layer, with an oxygen based reactive process; and depositing a non-conformal dielectric layer to form air gaps between the plurality of metal interconnect lines. The metal interconnect lines may comprise copper, aluminum, tantalum, tungsten, titanium, tantalum nitride, titanium nitride, tungsten nitride, or any combination thereof. Carbon-based films and patterned photoresist layers may be simultaneously removed with the same reactive process.
    Type: Grant
    Filed: October 10, 2008
    Date of Patent: April 19, 2011
    Assignee: Applied Materials, Inc.
    Inventor: Mehul Naik
  • Patent number: 7915160
    Abstract: Methods are provided for forming contacts for a semiconductor device. The methods may include depositing various materials, such as polysilicon, nitride, oxide, and/or carbon materials, over the semiconductor device. The methods may also include forming a contact hole and filling the contact hole to form the contact for the semiconductor device.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: March 29, 2011
    Assignee: GlobalFoundries Inc.
    Inventors: Cyrus E. Tabery, Srikanteswara Dakshina-Murthy, Chih-Yuh Yang, Bin Yu
  • Patent number: 7910475
    Abstract: A method for forming a semiconductor device is provided. In one embodiment, the method includes providing a semiconductor substrate with a surface region. The surface region includes one or more layers overlying the semiconductor substrate. In addition, the method includes depositing a dielectric layer overlying the surface region. The dielectric layer is formed by a CVD process. Furthermore, the method includes forming a diffusion barrier layer overlying the dielectric layer. In addition, the method includes forming a conductive layer overlying the diffusion barrier layer. Additionally, the method includes reducing the thickness of the conductive layer using a chemical-mechanical polishing process. The CVD process utilizes fluorine as a reactant to form the dielectric layer. In addition, the dielectric layer is associated with a dielectric constant equal or less than 3.3.
    Type: Grant
    Filed: July 17, 2009
    Date of Patent: March 22, 2011
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Ting Cheong Ang
  • Patent number: 7897508
    Abstract: Embodiments in accordance with the present invention provide methods of forming a metal interconnect structure which avoid defects arising from copper migration. In accordance with particular embodiments, an electroplated copper feature is subjected to a brief thermal anneal prior to chemical mechanical polishing and subsequent formation of an overlying barrier layer. This thermal anneal intentionally provokes migration of the copper and resulting formation of hillocks or voids, which are then removed by a CMP step. The barrier layer may thus subsequently be formed over a defect-free surface, which has already experienced stress release along grain boundaries as a result of the thermal treatment.
    Type: Grant
    Filed: February 22, 2006
    Date of Patent: March 1, 2011
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Wen Yue Zheng, Gang Mao, Jian Fei Cui
  • Patent number: 7897500
    Abstract: A plurality of spaced-apart conductor structures is formed on a semiconductor substrate, each of the conductor structures including a conductive layer. Insulating spacers are formed on sidewalls of the conductor structures. An interlayer-insulating film that fills gaps between adjacent ones of the insulating spacers is formed. Portions of the interlayer-insulating layer are removed to expose upper surfaces of the conductive layers. Respective epilayers are grown on the respective exposed upper surfaces of the conductive layers and respective metal silicide layers are formed from the respective epilayers.
    Type: Grant
    Filed: November 24, 2008
    Date of Patent: March 1, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Eun-ji Jung, Dae-yong Kim, Gil-heyun Choi, Byung-hee Kim, Woong-hee Sohn, Hyun-su Kim, Jang-hee Lee, Eun-ok Lee, Jeong-gil Lee
  • Publication number: 20110021019
    Abstract: The invention provides for polysilicon vias connecting conductive polysilicon layers formed at different heights. Polysilicon vias are advantageously used in a monolithic three dimensional memory array of charge storage transistors. Polysilicon vias according to the present invention can be used, for example, to connect the channel layer of a first device level of charge storage transistor memory cells to the channel layer of a second device layer of such cells formed above the first device level. Similarly, vias according to the present invention can be used to connect the wordline of a first device level of charge storage transistor memory cells to the channel layer of a second device layer of such cells.
    Type: Application
    Filed: October 4, 2010
    Publication date: January 27, 2011
    Inventors: Michael W. Konevecki, Usha Raghuram, Maitreyee Mahajani, Sucheta Nallamothu, Andrew J. Walker, Tanmay Kumar
  • Patent number: 7875548
    Abstract: Methods of fabricating semiconductor structures on a substrate, where the substrate has transistors formed thereon, are provided. One method includes forming interconnect metallization structures in a plurality of levels. The forming of the interconnect metallization structures includes depositing a sacrificial layer and performing a process to etch trenches, vias, and stubs into the sacrificial layer. The method further includes filling and planarizing the trenches, vias, and stubs that were etched and then etching away the sacrificial layer throughout the plurality of levels of the interconnect metallization structures. The etching leaving a voided interconnect metallization structure that is structurally supported by stubs that are non-electrically functional.
    Type: Grant
    Filed: August 7, 2008
    Date of Patent: January 25, 2011
    Assignee: Lam Research Corporation
    Inventors: Yehiel Gotkis, David Wei, Rodney Kistler
  • Patent number: 7871921
    Abstract: An interconnection structure for a semiconductor device includes an inter-level insulation layer disposed on a semiconductor substrate. First contact constructions penetrate the inter-level insulation layer. Second contact constructions penetrate the inter-level insulation layer. Metal interconnections connect the first contact constructions to the second contact constructions on the inter-level insulation layer. The first contact constructions include first and second plugs stacked in sequence and the second contact constructions include the second plug.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: January 18, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Taek Park, Jong-Ho Park, Sung-Hoi Hur, Hyun-Suk Kim
  • Patent number: 7867870
    Abstract: A device isolation film in a semiconductor device and a method for forming the same are provided. The method includes etching a middle portion of a device isolation film having a deposition structure including a Spin-On-Dielectric (SOD) oxide film and a High Density Plasma (HDP) oxide film to form a hole and filling an upper portion of the hole with an oxide film having poor step coverage characteristics to form a second hole extending along the middle portion of the device isolation film. The second hole serves as a buffer for stress generated at the interface between an oxide film, which can be a device isolation film, and a silicon layer, which can be a semiconductor substrate, thereby increasing the operating current of a transistor and improving the electrical characteristics of the resulting device.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: January 11, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Won Bong Jang
  • Patent number: 7858519
    Abstract: A method is provided for forming a capping layer comprising Cu, N, and also Si and/or Ge onto a copper conductive structure, said method comprising the sequential steps of: forming, at a temperature range between 200° C. up to 400° C., at least one capping layer onto said copper conductive structure by exposing said structure to a GeH4 and/or a SiH4 comprising ambient, performing a NH3 plasma treatment thereby forming an at least partly nitrided capping layer, forming a dielectric barrier layer onto said at least partly nitrided capping layer, wherein prior to said step of forming said at least one capping layer a pre-annealing step of said copper conductive structure is performed at a temperature range between 250° C. up to 450° C.
    Type: Grant
    Filed: November 3, 2008
    Date of Patent: December 28, 2010
    Assignees: IMEC, Taiwan Semiconductor Manufacturing Company, Ltd. (TSMC)
    Inventors: Chung-Shi Liu, Chen-Hua Yu
  • Patent number: 7838921
    Abstract: A memory cell arrangement includes a first memory cell string having a plurality of serially source-to-drain-coupled transistors, at least some of them being memory cells, a second memory cell string having a plurality of serially source-to-drain-coupled transistors, at least some of them being memory cells. A dielectric material is between and above the first memory cell string and the second memory cell string. A source/drain line groove is defined in the dielectric material. The source/drain line groove extends from a source/drain region of one transistor of the first memory cell string to a source/drain region of the second memory cell string. Electrically conductive filling material is disposed in the source/drain line groove. Dielectric filling material is disposed in the source/drain line groove between the source/drain regions.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: November 23, 2010
    Assignee: Qimonda AG
    Inventors: Josef Willer, Thomas Mikolajick, Nicolas Nagel, Michael Specht
  • Patent number: 7807572
    Abstract: A method forms a micropad to an external contact of a first semiconductor device. A stud of copper is formed over the external contact. The stud extends above a surface of the first semiconductor device. The stud of copper is immersed in a solution of tin. The tin replaces at least 95 percent of the copper of the stud and preferably more than 99 percent. The result is a tin micropad that has less than 5 percent copper by weight. Since the micropad is substantially pure tin, intermetallic bonds will not form during the time while the micropads of the first semiconductor device are not bonded. Smaller micropad dimensions result since intermetallic bonds do not form. When the first semiconductor device is bonded to an overlying second semiconductor device, the bond dimensions do not significantly increase the height of stacked chips.
    Type: Grant
    Filed: January 4, 2008
    Date of Patent: October 5, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Varughese Mathew, Eddie Acosta, Ritwik Chatterjee, Sam S. Garcia
  • Patent number: 7790607
    Abstract: A substantially planar surface coexposes conductive or semiconductor features and a dielectric etch stop material. A second dielectric material, different from the dielectric etch stop material, is deposited on the substantially planar surface. A selective etch etches a hole or trench in the second dielectric material, so that the etch stops on the conductive or semiconductor feature and the dielectric etch stop material. In a preferred embodiment the substantially planar surface is formed by filling gaps between the conductive or semiconductor features with a first dielectric such as oxide, recessing the oxide, filling with a second dielectric such as nitride, then planarizing to coexpose the nitride and the conductive or semiconductor features.
    Type: Grant
    Filed: October 25, 2007
    Date of Patent: September 7, 2010
    Assignee: SanDisk 3D LLC
    Inventors: Samuel V. Dunton, Usha Raghuram, Christopher J. Petti
  • Patent number: 7786023
    Abstract: A metal pad formation method and metal pad structure using the same are provided. A wider first pad metal is formed together with a first metal. A dielectric layer is then deposited thereon. A first opening and a second opening are formed in the dielectric layer to respectively expose the first metal and the first pad metal. Then, the first opening is filled by W metal to generate a first via. Finally, a second metal and a second pad metal are formed to respectively cover the first via and the first pad metal to generate the metal pad.
    Type: Grant
    Filed: June 25, 2007
    Date of Patent: August 31, 2010
    Assignee: Macronix International Co., Ltd.
    Inventors: Yung-Tai Hung, Jen-Chuan Pan, Chin-Ta Su, Ta-Hung Yang
  • Patent number: 7785916
    Abstract: Embodiments relate to an image sensor and a method for manufacturing the same. According to embodiments, a semiconductor substrate may include a pixel part and a peripheral part. A photo diode pattern may be formed over the pixel part having a height that is greater than a height of a surface of an interlayer dielectric film over the peripheral part. A device isolation film and a metal layer may be provided over the photodiode and over interlayer dielectric film over the peripheral part. A planarization layer may be provided and may compensate for a height difference so that a first metal film pattern connected to the photo diode pattern and a second metal film pattern connected to the metal wire in peripheral part may be simultaneously formed by patterning the planarization layer and metal film.
    Type: Grant
    Filed: December 27, 2008
    Date of Patent: August 31, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Sung-Ho Jun
  • Patent number: 7776683
    Abstract: A method for defining patterns in an integrated circuit comprises defining a plurality of features in a first photoresist layer using photolithography over a first region of a substrate. The method further comprises using pitch multiplication to produce at least two features in a lower masking layer for each feature in the photoresist layer. The features in the lower masking layer include looped ends. The method further comprises covering with a second photoresist layer a second region of the substrate including the looped ends in the lower masking layer. The method further comprises etching a pattern of trenches in the substrate through the features in the lower masking layer without etching in the second region. The trenches have a trench width.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: August 17, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Luan C. Tran, John Lee, Zengtao “Tony” Liu, Eric Freeman, Russell Nielsen
  • Publication number: 20100203723
    Abstract: There is provided a method of manufacturing a semiconductor device, the method including performing at least one of: processing, when forming the first redistribution layer, of forming the first electrically conductive material layer by growing the first electrically conductive material using electroplating, and polishing the first resist film and the first electrically conductive material layer from the main surface side to flatten their surfaces; and processing, when forming the second redistribution layer, forming the second electrically conductive material layer by growing the second electrically conductive material using electroplating, and polishing the second resist film and the second electrically conductive material layer from the main surface side to flatten their surfaces.
    Type: Application
    Filed: February 3, 2010
    Publication date: August 12, 2010
    Applicant: OKI SEMICONDUCTOR CO., LTD.
    Inventors: Hideyuki Sameshima, Tomoo Ono
  • Patent number: 7772112
    Abstract: A method of forming an insulating layer on an conductive layer; forming a first mask layer and a second mask layer on the insulating layer; forming a resist layer on the second mask layer; patterning the resist layer; patterning the second mask layer by using the resist layer as a mask; etching the first mask layer halfway through its thickness by using the resist layer and the second mask layer as a mask; removing the resist layer; etching a remaining portion of the first mask layer using the second mask layer as a mask; forming an interconnect groove by etching the insulating layer using the first mask layer as a mask; and forming an electrically conductive material into the interconnect groove, thereby forming an interconnect layer connected to the conductive layer.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: August 10, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Yoshihisa Iba
  • Patent number: 7763539
    Abstract: A method for manufacturing a semiconductor device. In one example embodiment, a method for manufacturing a semiconductor device includes various steps. First, a dielectric layer is formed on the whole surface of a semiconductor substrate that includes an upper surface of a transistor. Next, a trench and a contact hole are formed by etching the dielectric layer so that the upper surface of the transistor is exposed. Then, a contact is formed by embedding a first conductive layer in the contact hole. Next, an etching stop layer is selectively forming on an upper part of the contact. Then, the semiconductor device is blanket-etched such that the first conductive layer remains in the trench. Next, the etching stop layer is removed. Finally, a metal line is formed by embedding a second conductive layer in the trench.
    Type: Grant
    Filed: October 31, 2008
    Date of Patent: July 27, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Seung Hyun Kim
  • Patent number: 7749894
    Abstract: An integrated circuit processing system is provided including providing a substrate having an integrated circuit, forming an interconnect layer over the integrated circuit, applying a low-K dielectric layer over the interconnect layer, applying an ultra low-K dielectric layer over the low-K dielectric layer, forming an opening through the ultra low-K dielectric layer and the low-K dielectric layer to the interconnect layer, depositing an interconnect metal in the opening, and chemical-mechanical polishing the interconnect metal and the ultra low-K dielectric layer.
    Type: Grant
    Filed: November 9, 2006
    Date of Patent: July 6, 2010
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Xianbin Wang, Juan Boon Tan, Liang-Choo Hsia, Teck Jung Tang, Huang Liu
  • Patent number: 7745325
    Abstract: A wiring structure of a semiconductor device may include an insulation interlayer on a substrate, the insulation interlayer having a linear first trench having a first width and a linear second trench having a second width, the linear second trench being in communication with a lower portion of the linear first trench, the first width being wider than the second width, and a conductive layer pattern in the linear first and second trenches.
    Type: Grant
    Filed: May 16, 2007
    Date of Patent: June 29, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Ho Koh, Byung-Hong Chung, Won-Jin Kim, Hyun Park, Ji-Young Min
  • Patent number: 7737038
    Abstract: A method of fabricating a semiconductor device includes forming a conductive layer on an insulating layer having a plurality of trenches on a semiconductor substrate, such that the conductive layer fills in the plurality of trenches formed in the insulating layer, and calculating a target eddy current value to measure an end point using parameters of a pattern density and a depth of the trenches. The method further includes planarizing the conductive layer and measuring eddy current values on the conductive layer using an eddy current monitoring system, and stopping the planarization when the measured eddy current value reaches the target eddy current value to form a planarized conductive layer having a target height on the insulating layer.
    Type: Grant
    Filed: December 7, 2006
    Date of Patent: June 15, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Mahn Lee, Byung-Lyul Park, MooJin Jung
  • Patent number: 7727884
    Abstract: A method includes forming a phase change material layer on a substrate using a deposition process that employs a process gas. The process gas includes a germanium source gas, and the germanium source gas includes at least one of the atomic groups “—N?C?O”, “—N?C?S”, “—N?C?Se”, “—N?C?Te”, “—N?C?Po” and “—C?N”.
    Type: Grant
    Filed: July 19, 2007
    Date of Patent: June 1, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byoung-Jae Bae, Sung-Lae Cho, Jin-Il Lee, Hye-Young Park, Ji-Eun Lim, Young-Lim Park
  • Patent number: 7727894
    Abstract: An integrated circuit structure includes a metallization level having a dual damascene trench structure formed in a layer of dielectric material. The dielectric material has an upper surface with a first degree of planarity. The metallization level includes a conductive layer formed in the trench structure with an upper surface characterized by the same level of planarity as the dielectric material upper surface. In certain embodiments, the upper surface of the conductive layer is substantially coplanar with the dielectric material upper surface.
    Type: Grant
    Filed: January 3, 2007
    Date of Patent: June 1, 2010
    Assignee: Agere Systems Inc.
    Inventors: Sailesh Chittipeddi, Sailesh Merchant
  • Patent number: 7718545
    Abstract: A fabrication process, including forming one or more layers on at least a sidewall of a topographical feature of a substantially planar substrate, the sidewall being substantially orthogonal to the substrate; and planarizing respective portions of the one or more layers to form a planar surface substantially parallel to the substrate, wherein the planar surface includes respective co-planar surfaces of the one or more layers, at least one of the surfaces having a dimension determined by a thickness of the corresponding layer.
    Type: Grant
    Filed: October 30, 2006
    Date of Patent: May 18, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Hou Tee Ng, Alfred I-Tsung Pan
  • Publication number: 20100105169
    Abstract: A semiconductor device includes a semiconductor substrate and a via electrode. The via electrode has a first portion on the substrate and extends towards the substrate and has a plurality of spikes that extends from the first portion into the substrate, each of the spikes being spaced apart form one another.
    Type: Application
    Filed: August 18, 2009
    Publication date: April 29, 2010
    Inventors: Ho-jin Lee, Hyun-soo Chung, Chang-seong Jeon, Sang-sick Park, Jae-hyun Phee
  • Patent number: 7691704
    Abstract: A method for manufacturing a semiconductor device having a damascene metal/insulator/metal (MIM)-type capacitor and metal lines including providing a semiconductor device; sequentially forming a first interlayer insulating film and a second interlayer insulating film over the semiconductor substrate; simultaneously forming a vias hole and a lower metal line in a line region and a lower electrode in a capacitor region, wherein the lower metal line and the lower electrode are electrically connected to the semiconductor device; sequentially forming a dielectric film, a third interlayer insulating film, a fourth interlayer insulating film and a fifth interlayer insulating film over the semiconductor substrate; and then simultaneously forming a plurality of upper electrodes, a plurality of second vias holes and a plurality of second upper metal lines in the capacitor region electrically connected to the plurality of upper electrodes, a plurality of third vias holes and a plurality of second upper metal lines in th
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: April 6, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Seon-Heui Kim
  • Patent number: 7687393
    Abstract: A polishing composition for reducing the haze level of the surface of silicon wafers contains hydroxyethyl cellulose, polyethylene oxide, an alkaline compound, water, and silicon dioxide.
    Type: Grant
    Filed: April 25, 2007
    Date of Patent: March 30, 2010
    Assignee: Fujimi Incorporated
    Inventor: Shoji Iwasa
  • Patent number: 7682976
    Abstract: In methods of forming a phase-change material layer pattern, an insulation layer having a recessed portion may be formed on a substrate, and a phase-change material layer may be formed on the insulation layer to fill the recessed portion. A first polishing process may be performed on the phase-change material layer using a first slurry composition to partially remove the phase-change material layer, the first slurry composition having a first polishing selectivity between the insulation layer and the phase-change material layer. A second polishing process may be performed on the phase-change material layer using a second slurry composition to form a phase-change material layer pattern in the recessed portion, the second slurry composition having a second polishing selectivity substantially lower than the first polishing selectivity.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: March 23, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jong-Young Kim
  • Patent number: 7670902
    Abstract: A method for fabricating an integrated circuit device. A plurality of MOS transistor devices are formed overlying a semiconductor substrate. Each of the MOS transistor devices includes a nitride cap and nitride sidewall spacers. An interlayer dielectric layer is formed overlying the plurality of MOS transistor devices. A portion of the interlayer dielectric material is removed to expose at least portions of three MOS transistor devices and expose at least three regions between respective MOS transistor devices. The method deposits polysilicon fill material overlying the exposed three regions and overlying the three MOS transistor devices. The method performs a chemical mechanical planarization process on the polysilicon material to reduce a thickness of the polysilicon material exposing a portion of the interlayer dielectric material until the cap nitride layer on each of the MOS transistors has been exposed using the cap nitride layer as a polish stop layer.
    Type: Grant
    Filed: July 26, 2005
    Date of Patent: March 2, 2010
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Chris C. Yu, Hongxiu Peng
  • Publication number: 20100029075
    Abstract: Methods of forming through wafer vias (TWVs) and standard contacts in two separate processes to prevent copper first metal layer puddling and shorts are presented. In one embodiment, a method may include forming a TWV into a substrate and a first dielectric layer over the substrate; forming a second dielectric layer over the substrate and the TWV; forming, through the second dielectric layer, at least one contact to the TWV and at least one contact to other structures over the substrate; and forming a first metal wiring layer over the second dielectric layer, the first metal wiring layer contacting at least one of the contacts.
    Type: Application
    Filed: July 29, 2008
    Publication date: February 4, 2010
    Inventors: Peter J. Lindgren, Edmund J. Sprogis, Anthony K. Stamper
  • Patent number: 7655563
    Abstract: The invention relates to a semiconductor circuit arrangement having a semiconductor substrate, a first doping region, a second doping region, a connection doping region, an insulation layer and an electrically conductive structure which is to be planarized, it being possible for the charge carriers formed during a planarization step to be reliably dissipated, and for dendrite formation to be prevented, by a discharge doping region formed in the first and second doping regions.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: February 2, 2010
    Assignee: Infineon Technologies AG
    Inventors: Gabriela Brase, Martin Ostermayr, Erwin Ruderer
  • Patent number: 7642187
    Abstract: A method of forming a wiring for a semiconductor memory device includes obtaining a semiconductor substrate, depositing at least one conductive layer on the semiconductor substrate under controlled conditions, such as substrate temperature and atmosphere temperature, to provide a conductive layer exhibiting a reduced surface roughness as compared to a comparable conductive layer deposited under uncontrolled conditions, and patterning the conductive layer to form a wiring.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: January 5, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Hyun Lee, Min-Soo Kim, Tae-Hoon Kim
  • Patent number: 7638434
    Abstract: Method for filling a trench in a semiconductor product is disclosed. A first material is deposited onto a semiconductor product having a surface in which at least one trench is formed. A first layer is formed within the trench and on the surface of the semiconductor product outside the trench. A second material is deposited to form a second layer above the first layer outside the trench and the trench is filled. Chemical mechanical polishing is performed so that the second layer is removed above the first layer outside the trench and whereby the first layer is at least uncovered outside the trench. Residual first material of the first layer is removed by wet-chemical etching.
    Type: Grant
    Filed: August 30, 2007
    Date of Patent: December 29, 2009
    Assignee: Infineon Technologies AG
    Inventor: Johann Helneder
  • Patent number: 7638424
    Abstract: By providing large area metal plates in combination with respective peripheral areas of increased adhesion characteristics, delamination events may be effectively monitored substantially without negatively affecting the overall performance of the semiconductor device during processing and operation. In some illustrative embodiments, dummy vias may be provided at the periphery of a large area metal plate, thereby allowing delamination in the central area while substantially avoiding a complete delamination of the metal plate. Consequently, valuable information with respect to mechanical characteristics of the metallization layer as well as process flow parameters may be efficiently monitored.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: December 29, 2009
    Assignee: GlobalFoundries, Inc.
    Inventors: Ralf Richter, Carsten Peters, Holger Schuehrer
  • Patent number: 7625816
    Abstract: Embodiments relate to a passivation fabricating method. In the passivation fabricating method according to embodiments, a first oxide film may be formed by repeating deposition and etching of an oxide film on a silicon substrate in which an upper metal pad may be formed and a second oxide film may be formed by performing only deposition on the first oxide film. A thickness of the first oxide film may be set to be above 5 k?. A first passivation layer may be formed by planarizing the first and second oxide films. In the planarizing process, a thickness of the first passivation layer may be 4 k?. A second passivation layer of a nitride film may be formed on the first passivation layer and the first and second passivations may be selectively etched so as to expose the upper metal pad.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: December 1, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Yong Keon Choi
  • Patent number: 7611985
    Abstract: Methods and systems for forming holes in a substrate using dewetting coating are described herein.
    Type: Grant
    Filed: September 20, 2006
    Date of Patent: November 3, 2009
    Assignee: Intel Corporation
    Inventors: James C. Matayabas, Jr., Lakshmi Supriya
  • Publication number: 20090243088
    Abstract: A method of fabricating a plurality of layers of metal on a substrate depositing a first layer of metal on the substrate; depositing a first layer of planarization material over the substrate and first layer of metal to a depth above the top of the first layer of metal; polishing the first layer of planarization material down to at least the top of the first layer of metal; and depositing a second layer of metal on the first layer of metal and the first layer of planarization material.
    Type: Application
    Filed: March 28, 2008
    Publication date: October 1, 2009
    Applicant: M/A-Com, Inc.
    Inventor: Joel Lee Goodrich
  • Publication number: 20090239374
    Abstract: Methods of forming devices include forming a first electrically insulating layer having a metal interconnection therein, on a substrate and then forming a first electrically insulating barrier layer on an upper surface of the metal interconnection and on the first electrically insulating layer. The first electrically insulating barrier layer is exposed to a plasma that penetrates the first electrically insulating barrier and removes oxygen from an upper surface of the metal interconnection. The barrier layer may have a thickness in a range from about 5 ? to about 50 ? and the plasma may be a hydrogen-containing plasma that converts oxygen on the upper surface of the metal interconnection to water.
    Type: Application
    Filed: March 18, 2008
    Publication date: September 24, 2009
    Inventors: Jae hak Kim, Griselda Bonilla, Steven E. Molis, Darryl D. Restaino, Hosadurga Shobha, Johnny Widodo
  • Patent number: 7582556
    Abstract: A circuit structure includes a semiconductor substrate, first and second metallic posts over the semiconductor substrate, an insulating layer over the semiconductor substrate and covering the first and second metallic posts, first and second bumps over the first and second metallic posts or over the insulating layer. The first and second metallic posts have a height of between 20 and 300 microns, with the ratio of the maximum horizontal dimension thereof to the height thereof being less than 4. The distance between the center of the first bump and the center of the second bump is between 10 and 250 microns.
    Type: Grant
    Filed: June 26, 2006
    Date of Patent: September 1, 2009
    Assignee: MEGICA Corporation
    Inventors: Mou-Shiung Lin, Chien-Kang Chou, Ke-Hung Chen
  • Patent number: 7579271
    Abstract: A method for forming a semiconductor device is provided. In one embodiment, the method includes providing a semiconductor substrate with a surface region. The surface region includes one or more layers overlying the semiconductor substrate. In addition, the method includes depositing a dielectric layer overlying the surface region. The dielectric layer is formed by a CVD process. Furthermore, the method includes forming a diffusion barrier layer overlying the dielectric layer. In addition, the method includes forming a conductive layer overlying the diffusion barrier layer. Additionally, the method includes reducing the thickness of the conductive layer using a chemical-mechanical polishing process. The CVD process utilizes fluorine as a reactant to form the dielectric layer. In addition, the dielectric layer is associated with a dielectric constant equal or less than 3.3.
    Type: Grant
    Filed: May 3, 2006
    Date of Patent: August 25, 2009
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Ting Cheong Ang
  • Patent number: RE41842
    Abstract: Methods of forming electrical interconnects include the steps of forming a first electrically conductive layer on a semiconductor substrate and then forming a first electrically insulating layer on the first electrically conductive layer. A second electrically insulating layer is then formed on the first electrically insulating layer. The second electrically insulating layer is then etched to expose the first electrically insulating layer and then a third electrically insulating layer is formed on the first electrically insulating layer. The first and third electrically insulating layers are then etched to define a contact hole therein which exposes a portion of the first electrically conductive layer. A barrier metal layer is then formed. The barrier metal layer is preferably formed to extend on the third electrically insulating layer and on the exposed portion of the first electrically conductive layer.
    Type: Grant
    Filed: July 26, 2006
    Date of Patent: October 19, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: In-Kwon Jeong