Having Planarization Step Patents (Class 438/631)
  • Patent number: 7575995
    Abstract: There are provided a method of forming a fine metal pattern and a method of forming a metal line using the same. In the method of forming a fine metal pattern, a substrate is prepared where a first interlayer insulating layer is formed. A via plug is formed on the first interlayer insulating layer. A plurality of sidewall buffer patterns are formed on the first interlayer insulating layer having the via plug, wherein the plurality of the sidewall buffer patterns are spaced apart from each other by a predetermined distance. The sidewall layer is deposited on the first interlayer insulating layer and the sidewall buffer patterns. The sidewall layer is etched such that sidewall patterns remains on sidewalls of the sidewall buffer patterns.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: August 18, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Kim Ki Yong
  • Patent number: 7575997
    Abstract: A method for forming a contact hole of a semiconductor is provided. Conductive patterns are formed over a substrate. An insulation layer is formed over the substrate to bury the conductive patterns. A hard mask including an amorphous carbon layer and an oxide based layer are formed in sequential order over the insulation layer and the conductive pattern. The amorphous carbon layer and the oxide layer are selectively etched to form a mask pattern. The insulation layer is etched using the mask pattern as a mask to form a contact hole.
    Type: Grant
    Filed: June 15, 2006
    Date of Patent: August 18, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung-Kwon Lee
  • Patent number: 7560375
    Abstract: Methods of forming a gas dielectric structure for a semiconductor structure by using a sacrificial layer. In particular, one embodiment of the invention includes forming an opening for semiconductor structure in a dielectric layer on a substrate; depositing a sacrificial layer over the opening; performing a directional etch on the sacrificial layer to form a sacrificial layer sidewall on the opening; depositing a conductive liner over the opening; depositing a metal in the opening; planarizing the metal and the conductive liner; removing the sacrificial layer sidewall to form a void; and depositing a cap layer over the void to form the gas dielectric structure. The invention is easily implemented in damascene wire formation processes, and improves structural stability.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: July 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Ronald G. Filippi, Roy C. Iggulden, Edward W. Kiewra, Ping-Chuan Wang
  • Patent number: 7550310
    Abstract: Techniques are used to detect and identify analytes. Techniques are used to fabricate and manufacture sensors to detect analytes. An analyte (810) is sensed by sensors (820) that output electrical signals in response to the analyte. The electrical signals may be preprocessed (830) by filtering and amplification. In one embodiment, a plurality of sensors are formed on a single integrated circuit. The sensors may have diverse compositions.
    Type: Grant
    Filed: May 24, 2006
    Date of Patent: June 23, 2009
    Assignee: California Institute of Technology
    Inventors: Rodney M. Goodman, Nathan S. Lewis, Robert H. Grubbs, Jeffery Dickson, Vincent F. Koosh, Richard S. Payne
  • Patent number: 7544606
    Abstract: A method of forming a metal feature in a low-k dielectric layer is provided. The method includes forming an opening in a low-k dielectric layer, forming a metal layer having a substantially planar surface over the low-k dielectric layer using spin-on method, and stress free polishing the metal layer. Preferably, the metal layer comprises copper or copper alloys. The metal layer preferably includes a first sub layer having a substantially non-planar surface and a second sub layer having a substantially planar surface on the first sub layer.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: June 9, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jean Wang, Chia-Ming Yang, Henry Lo, Joshua Tseng
  • Publication number: 20090137112
    Abstract: A method of manufacturing nonvolatile semiconductor memory devices comprises forming a first wiring material; and stacking memory cell materials on the first wiring material, which configure memory cells each including a variable resistor operative to nonvolatilely store information in accordance with variation in resistance. The method also comprises forming a plurality of first parallel trenches in the first wiring material and the stacked memory cell materials, the first trenches extending in a first direction, thereby forming first lines extending in the first direction and memory cell materials self-aligned with the first lines and separated by the first trenches. The method further comprises burying an interlayer insulator in the first trenches to form a block body and stacking a second wiring material on the block body.
    Type: Application
    Filed: November 21, 2008
    Publication date: May 28, 2009
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Hideyuki TABATA, Hirofumi Inoue, Hiroyuki Nagashima, Kohichi Kubo
  • Patent number: 7534719
    Abstract: A protective barrier layer, formed of a material such as titanium or titanium nitride for which removal by chemical mechanical polishing (CMP) is primarily mechanical rather than primarily chemical, formed on a conformal tungsten layer. During subsequent CMP to pattern the tungsten layer, upper topological regions of the protective barrier layer (such as those overlying interlevel dielectric regions) are removed first, exposing the tungsten under those regions to removal, while protective barrier layer regions over lower topological regions (such as openings within the interlevel dielectric) remain to prevent chemical attack of underlying tungsten. CMP patterned tungsten is thus substantially planar with the interlevel dielectric without dishing, even in large area tungsten structures such as MOS capacitor structures.
    Type: Grant
    Filed: April 9, 2008
    Date of Patent: May 19, 2009
    Assignee: STMicroelectronics, Inc.
    Inventors: Charles R. Spinner, III, Rebecca A. Nickell, Todd H. Gandy
  • Patent number: 7528066
    Abstract: An interconnect structure including a gouging feature at the bottom of one of the via openings and a method of forming the same are provided. In accordance with the present invention, the method of forming the interconnect structure does not disrupt the coverage of the deposited diffusion barrier in the overlying line opening, nor does it introduce damages caused by Ar sputtering into the dielectric material including the via and line openings. In accordance with the present invention, such an interconnect structure contains a diffusion barrier layer only within the via opening, but not in the overlying line opening. This feature enhances both mechanical strength and diffusion property around the via opening areas without decreasing volume fraction of conductor inside the line openings.
    Type: Grant
    Filed: March 1, 2006
    Date of Patent: May 5, 2009
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Terry A. Spooner, Oscar van der Straten
  • Patent number: 7528064
    Abstract: Microelectronic workpieces that have bump sites over bond-pads and methods of fabricating such bump sites. One embodiment of such a workpiece, for example, includes a substrate having a plurality of microelectronic dies comprising integrated circuitry and bond-pads, such as copper bond-pads, electrically coupled to the integrated circuitry. The workpiece further includes (a) a dielectric structure having a plurality of openings with sidewalls projecting from corresponding bond-pads, and (b) a plurality of caps over corresponding bond-pads. The individual caps can include a discrete portion of a barrier layer attached to the bond-pads and the sidewalls of the openings, and a discrete portion of a cap layer on the barrier layer. The caps are electrically isolated from each other and self-aligned with corresponding bond-pads without forming a mask layer over the cap layer.
    Type: Grant
    Filed: October 15, 2007
    Date of Patent: May 5, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Sanh D. Tang, Mark E. Tuttle, Keith R. Cook
  • Patent number: 7524757
    Abstract: A method for manufacturing a multi-level transistor on a substrate. The method includes forming a first transistor on a first active region, forming a first selective epitaxial growth (SEG) layer on the substrate, and forming a preliminary second SEG layer and a dummy layer, wherein the preliminary second SEG layer is formed directly on only the first SEG layer and a portion of the first insulating layer formed on the cell region of the substrate, and wherein the dummy layer is formed on the peripheral region of the substrate. The method further includes planarizing the preliminary second SEG layer using the dummy layer as a stop layer to form a second SEG layer, forming a second active region from the second SEG layer formed on a first insulating layer, and forming a second transistor on the second active region.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: April 28, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-jun Kim, Chang-ki Hong, Bo-un Yoon, Jae-kwang Choi
  • Patent number: 7524697
    Abstract: A burn-in process for a semiconductor integrated circuit device includes a first process of positioning bump electrodes of the semiconductor integrated circuit device with respect to pads of a socket having detachment mechanisms, a second process of pressing the bump electrodes against the pads by weighting the semiconductor integrated circuit device, and a third process of detaching the bump electrodes from the pads by exerting force on the semiconductor integrated circuit device in a direction opposite to a weighting direction of the second process. Automatic insertion and detachment of a semiconductor integrated circuit chip in a burn-in test is facilitated by detaching the bump electrodes from the pads by pushing up the semiconductor integrated circuit device.
    Type: Grant
    Filed: January 18, 2005
    Date of Patent: April 28, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Naohiro Makihira, Satoshi Imasu, Masanao Sato
  • Patent number: 7510972
    Abstract: A method of processing a substrate which enables a surface damaged layer and polishing remnants on the surface of an insulating film to be removed, and enable the amount removed of the surface damaged layer and polishing remnants to be controlled easily. An insulating film on a substrate, which has been revealed by chemical mechanical polishing, is exposed to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure. The insulating film which has been exposed to the atmosphere of the mixed gas is heated to a predetermined temperature.
    Type: Grant
    Filed: February 14, 2006
    Date of Patent: March 31, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Eiichi Nishimura, Kenya Iwasaki
  • Patent number: 7510959
    Abstract: A method of manufacturing a semiconductor device having damascene structures with air gaps is provided. In one embodiment, the method comprises the steps of depositing and patterning a disposable layer, depositing a first barrier layer on top of the patterned disposable layer, depositing a metal layer, planarizing the metal layer, depositing a second barrier layer, planarizing the second barrier layer until substantially no barrier layer material is present on top of the disposable layer, depositing a permeable layer, removing the disposable layer through the permeable layer to form air gaps.
    Type: Grant
    Filed: March 16, 2005
    Date of Patent: March 31, 2009
    Assignees: Interuniversitair Microelektronica Centrum (IMEC), Koninklikje Phillips Electronics
    Inventors: Roel Daamen, Viet Nguyen Hoang
  • Patent number: 7507647
    Abstract: A method of manufacturing a high voltage semiconductor device including forming a P-type region implanted with P-type impurities and an N-type region implanted with N-type impurities in a silicon substrate. The method further includes forming a silicon nitride layer pattern and a pad oxide layer pattern to expose a surface of the silicon substrate, forming a trench by etching the exposed silicon substrate using the silicon nitride layer pattern as an etch mask, forming a trench oxide layer pattern in the trench by removing the silicon nitride layer pattern and the pad oxide layer pattern, and simultaneously forming a deep P-well and a deep N-well by driving P-type impurities in the P-type region and N-type impurities in the N-type region into the silicon substrate, while forming a gate oxide layer on a silicon substrate including the trench oxide layer pattern.
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: March 24, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Tae-Hong Lim
  • Patent number: 7504287
    Abstract: A method is provided for fabricating a semiconductor device which includes a first contact point and a second contact point located above the first contact point. A first material layer is conformally deposited over the contact points, and a second material layer is deposited. A photoresist layer is applied and patterned to leave remaining portions. The remaining portions are trimmed to produce trimmed remaining portions which overlie eventual contact holes to the contact points. Using the trimmed remaining portions as an etch mask, exposed portions the second material layer are etched away to leave sacrificial plugs. The sacrificial plugs are etched away to form contact holes that reach portions the first material layers. Another etching step is performed to extend the contact holes to produce final contact holes that extend to the contact points.
    Type: Grant
    Filed: March 22, 2007
    Date of Patent: March 17, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Sven Beyer, Kamatchi Subramanian
  • Publication number: 20090061617
    Abstract: A method and apparatus for the removal of a deposited conductive layer along an edge of a substrate using a power ring configured to electro polish an edge of the substrate are provided. The electro polishing of the substrate edge may occur simultaneously with the electrochemical mechanical processing of a substrate face. In certain embodiments a method of electrochemically polishing a substrate having a conductive material disposed thereon is provided. A substrate is coupled with a carrier head comprising a power ring which surrounds an edge of the substrate, wherein the edge of the substrate includes the conductive material. A polishing pad is contacted with a face of the substrate. A first voltage is applied to the power ring to remove conductive material from the edge of the substrate. A second voltage different from the first voltage is applied to the polishing pad to remove a portion of the conductive material from the face of the substrate.
    Type: Application
    Filed: September 4, 2007
    Publication date: March 5, 2009
    Inventors: Alain Duboust, Jose Salas-Vernis, Antoine P. Manens
  • Patent number: 7488637
    Abstract: A CMOS image sensor and a method for forming the same are provided. According to the method, a gate insulating layer and a doped polysilicon layer which are sequentially stacked on a substrate are patterned to form a transfer gate and a reset gate set apart from each other. A floating diffusion layer between the transfer gate and the reset gate, a light receiving element at a side of the transfer gate away from and opposite to the floating diffusion layer and a source/drain region at a side of the reset gate away from and opposite to the floating diffusion layer are formed. An insulation layer and a mold layer are sequentially formed on an entire surface of the substrate, and the mold layer is planarized until the insulation layer is exposed. The exposed insulation layer is removed to further expose an upper surface of the gates. A selective silicidation process is carried out using a metal gate layer to form a metal gate silicide on the exposed gate.
    Type: Grant
    Filed: November 16, 2005
    Date of Patent: February 10, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jong-Chae Kim
  • Publication number: 20090029543
    Abstract: A method for cleaning a dielectric and metal structure within a microelectronic structure uses an oxygen containing plasma treatment, followed by an alcohol treatment, in turn followed by an aqueous organic acid treatment. Another method for cleaning a dielectric and metal structure within a microelectronic structure uses an aqueous surfactant treatment followed by an alcohol treatment and finally followed by an aqueous organic acid treatment. The former method may be used to clean a plasma etch residue from a dual damascene aperture. The second method may be used to clean a chemical mechanical polish planarizing residue from a dual damascene structure. The two methods may be used sequentially, absent any intervening or subsequent sputtering method, to provide a dual damascene structure within a microelectronic structure.
    Type: Application
    Filed: July 25, 2007
    Publication date: January 29, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Mary Beth Rothwell, Roy Rongqing Yu
  • Publication number: 20090017611
    Abstract: In a method for fabricating a semiconductor device, first, a first metal interconnect is formed in an interconnect formation region, and a second metal interconnect is formed in a seal ring region. Subsequently, by chemical mechanical polishing or etching, the upper portions of the first metal interconnect and the second metal interconnect are recessed to form recesses. A second insulating film filling the recesses is then formed above a substrate, and the upper portion of the second insulating film is planarized. Next, a hole and a trench are formed to extend halfway through the second insulating film, and ashing and polymer removal are performed. Subsequently to this, the hole and the trench are allowed to reach the first metal interconnect and the second metal interconnect.
    Type: Application
    Filed: May 13, 2008
    Publication date: January 15, 2009
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
    Inventor: Shunsuke Isono
  • Patent number: 7476612
    Abstract: In embodiments, a method for manufacturing a semiconductor device may include forming a diffusion preventing layer on a semiconductor substrate having a conductive layer, forming an intermetallic insulating layer on the diffusion preventing layer, forming a trench photo resist layer formed above the intermetallic insulating layer of a first photo resist material, forming a via hole photo resist layer of a second photo resist material at an upper portion and a sidewall in a contact hole of the trench photo resist layer, etching the intermetallic insulating layer and the diffusion preventing layer using the via hole photo resist layer and the trench photo resist layer to substantially simultaneously form a via hole and a trench, and filling the via hole and the trench with a metal thin film to form a metal line.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: January 13, 2009
    Inventor: Su Kon Kim
  • Patent number: 7470619
    Abstract: Described is a method for forming a stackable interconnect. The interconnect is formed by depositing a first contact on a substrate; depositing a seed layer (SL) on the substrate; depositing a metal mask layer (MML) on the SL; depositing a bottom anti-reflection coating (BARC) on the MML; forming a photoresist layer (PR) on the BARC; removing a portion of the PR; etching the BARC and the MML to expose the SL; plating the exposed SL to form a first plated plug; removing the layers to expose the SL; removing an unplated portion of the SL; depositing an inter layer dielectric (ILD) on the interconnect; etching back the ILD to expose the first plated plug; and depositing a second contact on the first plated plug. Using the procedures described above, a second plated plug is then formed on the first plated plug to form the stackable plugged via interconnect.
    Type: Grant
    Filed: December 1, 2006
    Date of Patent: December 30, 2008
    Assignee: HRL Laboratories, LLC
    Inventors: Mary Y. Chen, James Chingwei Li, Philip H. Lawyer, Marko Sokolich
  • Publication number: 20080284006
    Abstract: In a semiconductor device and a method of forming the same, the semiconductor device comprises: a first insulating layer on an underlying contact region of the semiconductor device, the first insulating layer having an upper surface; a first conductive pattern in a first opening through the first insulating layer, an upper portion of the first conductive pattern being of a first width, an upper surface of the first conductive pattern being recessed relative to the upper surface of the first insulating layer so that the upper surface of the first conductive pattern has a height relative to the underlying contact region that is less than a height of the upper surface of the first insulating layer relative to the underlying contact region; and a second conductive pattern contacting the upper surface of the first conductive pattern, a lower portion of the second conductive pattern being of a second width that is less than the first width.
    Type: Application
    Filed: April 2, 2008
    Publication date: November 20, 2008
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Jongwon Hong, GeumJung Seong, Jongmyeong Lee, Hyunbae Lee, Bonghyun Choi
  • Publication number: 20080286962
    Abstract: A method for fabricating a metal pad is disclosed. The fabrication method includes the step of selectively etching a wire insulation film formed on a semiconductor substrate to form a pattern, such as a dual damascene pattern, having plural vias in one trench. A metal film is deposited to fill the pattern and an insulation film is formed on the metal film. Further, the method includes removing the insulation film and the metal film to expose a surface of the wire insulation film to thereby form a metal pad and via contacts.
    Type: Application
    Filed: May 16, 2008
    Publication date: November 20, 2008
    Applicant: DONGBU HITEK CO., LTD.
    Inventor: Min-Hyung LEE
  • Patent number: 7446033
    Abstract: A metal interconnection of a semiconductor device, formed using a damascene process, has large grains and yet a smooth surface. First, a barrier layer and a metal layer are sequentially formed in an opening in an interlayer dielectric layer. A CMP process is carried out on the metal layer to form a metal interconnection remaining within the opening. Then, the metal interconnection is treated with plasma. The plasma treatment creates compressive stress in the metal interconnection, which stress produces hillocks at the surface of the metal interconnection. In addition, the plasma treatment process causes grains of the metal to grow, especially when the design rule is small, to thereby decrease the resistivity of the metal interconnection. The hillocks are then removed by a CMP process aimed at polishing the portion of the barrier layer that extends over the upper surface of the interlayer dielectric layer. Finally, a capping insulating layer is formed.
    Type: Grant
    Filed: January 23, 2006
    Date of Patent: November 4, 2008
    Assignee: Samung Electronics Co., Ltd.
    Inventors: Sun-jung Lee, Soo-geun Lee, Hong-jae Shin, Andrew-tae Kim, Seung-man Choi, Bong-seok Suh
  • Publication number: 20080254615
    Abstract: A substantially planar surface coexposes conductive or semiconductor features and a dielectric etch stop material. A second dielectric material, different from the dielectric etch stop material, is deposited on the substantially planar surface. A selective etch etches a hole or trench in the second dielectric material, so that the etch stops on the conductive or semiconductor feature and the dielectric etch stop material. In a preferred embodiment the substantially planar surface is formed by filling gaps between the conductive or semiconductor features with a first dielectric such as oxide, recessing the oxide, filling with a second dielectric such as nitride, then planarizing to coexpose the nitride and the conductive or semiconductor features.
    Type: Application
    Filed: October 25, 2007
    Publication date: October 16, 2008
    Inventors: Samuel V. Dunton, Christopher J. Petti, Usha Raghuram
  • Patent number: 7425501
    Abstract: A method for making a semiconductor device is provided. The method includes forming transistor structures on a substrate and forming interconnect metallization structures in a plurality of levels through depositing a sacrificial layer. A dual damascene process is performed to etch trenches and vias, and filling and planarizing the trenches and vias. The sacrificial layer is etched throughout the plurality of levels of the interconnect metallization structures, thus leaving a voided interconnect metallization structure. The voided interconnect metallization structure is filled with low K dielectric material, thus defining a low K dielectric interconnect metallization structure.
    Type: Grant
    Filed: October 25, 2005
    Date of Patent: September 16, 2008
    Assignee: Lam Research Corporation
    Inventors: Yehiel Gotkis, David Wei, Rodney Kistler
  • Patent number: 7416985
    Abstract: A multilayer interconnection structure includes a first interlayer insulation film, a second interlayer insulation film formed over the first interlayer insulation film, an interconnection trench formed in the first interlayer insulation film and having a sidewall surface and a bottom surface covered with a first barrier metal film, a via-hole formed in the second interlayer insulation film and having a sidewall surface and a bottom surface covered with a second barrier metal film, an interconnection pattern filling the interconnection trench, and a via-plug filling the via-hole, wherein the via-plug makes a contact with a surface of the interconnection pattern, the interconnection pattern has projections and depressions on the surface, the interconnection pattern containing therein oxygen atoms along a crystal grain boundary extending from the surface toward an interior of the interconnection pattern with a concentration higher than a concentration at the surface.
    Type: Grant
    Filed: January 26, 2005
    Date of Patent: August 26, 2008
    Assignee: Fujitsu Limited
    Inventors: Tamotsu Yamamoto, Hirofumi Watani, Hideki Kitada, Hiroshi Horiuchi, Motoshu Miyajima
  • Patent number: 7407879
    Abstract: An electrical interconnect structure on a substrate, which includes: a first low-k dielectric layer; a spin-on low k CMP protective layer that is covalently bonded to the first low-k dielectric layer; and a CVD deposited hardmask/CMP polish stop layer is provided. Electrical vias and lines can be formed in the first low k dielectric layer. The spin-on low k CMP protective layer prevents damage to the low k dielectric which can be created due to non-uniformity in the CMP process from center to edge or in areas of varying metal density. The thickness of the low-k CMP protective layer can be adjusted to accommodate larger variations in the CMP process without significantly impacting the effective dielectric constant of the structure.
    Type: Grant
    Filed: March 7, 2006
    Date of Patent: August 5, 2008
    Assignee: International Business Machines Corporation
    Inventors: Lee M Nicholson, Wei-Tsu Tseng, Christy S Tyberg
  • Patent number: 7405152
    Abstract: A damascene process incorporating a GCIB step is provided. The GCIB step can replace one or more CMP steps in the traditional damascene process. The GCIB step allows for selectable removal of unwanted material and thus, reduces unwanted erosion of certain nearby structures during damascene process. A GCIB step may also be incorporated in the damascene process as a final polish step to clean up surfaces that have been planarized using a CMP step.
    Type: Grant
    Filed: January 31, 2005
    Date of Patent: July 29, 2008
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P. Gambino, Anthony K. Stamper
  • Patent number: 7405154
    Abstract: A contact metallurgy structure comprising a patterned dielectric layer having cavities on a substrate; a silicide or germanide layer such as of cobalt and/or nickel located at the bottom of cavities; a contact layer comprising Ti or Ti/TiN located on top of the dielectric layer and inside the cavities and making contact to the silicide or germanide layer on the bottom; a diffusion barrier layer located on top of the contact layer and inside the cavities; optionally a seed layer for plating located on top of the barrier layer; a metal fill layer in vias is provided along with a method of fabrication. The metal fill layer is electrodeposited with at least one member selected from the group consisting of copper, rhodium, ruthenium, iridium, molybdenum, gold, silver, nickel, cobalt, silver, gold, cadmium and zinc and alloys thereof. When the metal fill layer is rhodium, ruthenium, or iridium, an effective diffusion barrier layer is not required between the fill metal and the dielectric.
    Type: Grant
    Filed: March 24, 2006
    Date of Patent: July 29, 2008
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., Hariklia Deligianni, Randolph F. Knarr, Sandra G. Malhotra, Stephen Rossnagel, Xiaoyan Shao, Anna Topol, Philippe M. Vereecken
  • Patent number: 7399671
    Abstract: Sacrificial plugs for forming contacts in integrated circuits, as well as methods of forming connections in integrated circuit arrays are disclosed. Various pattern transfer and etching steps can be used to create densely-packed features and the connections between features. A sacrificial material can be patterned in a continuous zig-zag line pattern that crosses word lines. Planarization can create parallelogram-shaped blocks of material that can overlie active areas to form sacrificial plugs, which can be replaced with conductive material to form contacts.
    Type: Grant
    Filed: September 1, 2005
    Date of Patent: July 15, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Byron Neville Burgess, John K. Zahurak
  • Patent number: 7399696
    Abstract: A method of forming a high performance inductor comprises providing a substrate; forming a plurality of wiring levels over the substrate, wherein each of the wiring levels comprise a dielectric layer; forming a first trench having a first depth in a first dielectric layer on a first wiring level; forming a second trench in the first dielectric layer having a second depth extending at least into a second wiring level; forming a conductor layer substantially simultaneously in the first and second trenches; and removing portions of the conductor layer overfilling the first and second trenches to form a spiral-shaped inductor in the second trench. The method may further comprise forming an interconnect structure in the first trench.
    Type: Grant
    Filed: August 2, 2005
    Date of Patent: July 15, 2008
    Assignee: International Business Machines Corporation
    Inventors: Douglas D. Coolbaugh, Mete Erturk, Zhong-Xiang He, Anthony K. Stamper
  • Patent number: 7399699
    Abstract: Improved semiconductor reflectance arrangements (e.g., semiconductor devices, systems including semiconductor devices, methods, etc.).
    Type: Grant
    Filed: March 4, 2005
    Date of Patent: July 15, 2008
    Assignee: Intel Corporation
    Inventors: Eyal Ginsburg, Michael Kozhukh, Alexander Talalaevsky
  • Patent number: 7399649
    Abstract: An underlying layer ALY of GaN is formed on a sapphire substrate SSB; a transfer layer TLY of GaN with a bump and dip shaped surface is formed on the underlying layer ALY; a light absorption layer BLY is formed on the bump and dip shaped surface of the transfer layer TLY; and a grown layer 4 of a planarization layer CLY and a structured light-emitting layer DLY having at least an active layer are formed on the light absorption layer BLY. A support substrate 2 is provided on the grown layer 4. The backside of the sapphire substrate SSB is irradiated with light of the second harmonic of YAG laser (wavelength 532 nm) to decompose the light absorption layer BLY and delaminate the sapphire substrate SSB, thereby allowing the planarization layer CLY of a bump and dip shaped surface to be exposed as a light extraction face.
    Type: Grant
    Filed: September 27, 2004
    Date of Patent: July 15, 2008
    Assignee: Pioneer Corporation
    Inventors: Mamoru Miyachi, Hiroyuki Ota, Yoshinori Kimura, Kiyofumi Chikuma
  • Patent number: 7396768
    Abstract: In one method and embodiment of the present invention, at least one coil layer is formed in a write head, using a two-slurry step of copper damascene chemical mechanical polishing method with a first slurry step removing the undesirable copper that is on top of the tantalum barrier layer and on top of the trenches and a second slurry step removing the remainder of the undesirable copper, the tantalum barrier layer, the silicon dioxide hard mask layer, the hard baked photoresist layer, the magnetic alloy such as NiFe, CoFe, or CoNiFe, and alumina insulating layer for better thin film magnetic head performances.
    Type: Grant
    Filed: October 20, 2006
    Date of Patent: July 8, 2008
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Jian-Huei Feng, Hung-Chin Guthrie, Ming Jiang, Sue Siyang Zhang
  • Publication number: 20080150141
    Abstract: The present invention provides a manufacturing method for an integrated semiconductor structure comprising the steps of: providing a semiconductor substrate with a main surface; forming a wiring metal layer above said main surface; forming a doped getter layer on said wiring metal layer; and forming at least one additional wiring metal layer on said doped getter layer. The present invention also provides a corresponding integrated semiconductor structure and a semiconductor memory device.
    Type: Application
    Filed: January 11, 2007
    Publication date: June 26, 2008
    Inventors: Werner Graf, Andreas Thies, Marco Lepper, Momtchil Stavrev
  • Publication number: 20080146023
    Abstract: A method of forming a metal wire in a semiconductor device includes performing a first etching process on an insulating layer formed on a semiconductor substrate to form a trench and an insulating layer pattern, the insulating layer pattern defining the trench. A barrier metal layer is formed over the insulating layer pattern and the trench. A second etching process is performed on the barrier metal layer to expose upper corners of the trench while leaving the trench substantially covered with the barrier metal layer. A metal layer is formed over the barrier metal layer in the trench. A heat treatment process is performed for reflowing the metal layer. The metal layer is planarized.
    Type: Application
    Filed: May 24, 2007
    Publication date: June 19, 2008
    Applicant: Hynix Semiconductor Inc.
    Inventors: Seung Hee HONG, Cheol Mo Jeong, Jung Geun Kim, Eun Soo Kim
  • Patent number: 7387963
    Abstract: A semiconductor wafer has an edge region with no defects larger than or equal to 0.3 ?m. The wafers are produced by a process, comprising (a) providing a semiconductor wafer having a rounded and etched edge; (b) polishing the edge of the semiconductor wafer, in which step the semiconductor wafer, which is held on a centrally rotating chuck and projects beyond the chuck and at least one polishing drum which is inclined by a specific angle with respect to the chuck, rotates centrally and is covered with a polishing cloth, are moved toward one another and pressed onto one another under a specific contact pressure with a polishing abrasive being supplied continuously; (c) cleaning the semiconductor wafer; (d) inspecting an edge region of the semiconductor wafer using an inspection unit; and (e) further processing the semiconductor wafer.
    Type: Grant
    Filed: July 17, 2006
    Date of Patent: June 17, 2008
    Assignee: Siltronic AG
    Inventors: Rudolf Rupp, Werner Aigner, Friedrich Passek
  • Publication number: 20080136040
    Abstract: Methods of forming electrical interconnects include forming a first electrically insulating layer on a semiconductor substrate and then forming an opening in the first electrically insulating layer. A step is performed to line a sidewall of the opening with a nitrified first metal layer having a non-uniform nitrogen concentration therein. An electrically conductive pattern is formed in the opening. A second metal nitride layer is provided between the electrically conductive pattern and the nitrified first metal layer.
    Type: Application
    Filed: July 16, 2007
    Publication date: June 12, 2008
    Inventors: Jin-Ho Park, Seong-Hwee Cheong, Gil-Heyun Choi, Sang-Woo Lee, Ho-Ki Lee
  • Patent number: 7384865
    Abstract: A method of forming a metal line in a semiconductor device includes: forming a lower insulation layer for insulation from the lower substrate; forming a first metal line at a certain region on the lower insulation layer; sequentially forming a first oxide layer, an FSG (Fluorine-doped Silicate Glass) layer, and a second oxide layer on the lower insulation layer and the first metal line; removing the first oxide layer, the FSG layer, and the second oxide layer so as to expose the first metal line; forming an upper insulation layer on the lower insulation layer and the first metal line; forming a contact hole by etching the upper insulation layer to a degree that the first metal line is exposed; and forming a second metal line by depositing a metal material in the contact hole.
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: June 10, 2008
    Assignee: Dongbuanam Semiconductor, Inc.
    Inventor: Seok-Su Kim
  • Patent number: 7381638
    Abstract: First material (106) is situated on the surface of a substructure (100 and 102) and in an opening (104), such as a Wench, that extends partway through the substructure. Second material (108) is situated on the first material in the opening. A physical sputter etch is performed on the structure while it is in a sputter etch module (206) to remove the parts of the first material overlying the substructure's surface and situated above the opening and to remove part of the second material overlying the first material in the opening so that remaining parts of the first and second materials are situated in the opening. The so-modified structure is transferred from the sputter etch module under a substantial vacuum, normally via a transfer module (202), to a deposition module (203, 204, or 205) where a layer of third material is deposited over the substructure's surface and over the parts of the first and second materials in the opening.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: June 3, 2008
    Assignee: National Semiconductor Corporation
    Inventor: Vassili Kitch
  • Patent number: 7382054
    Abstract: The present invention relates generally to semiconductors, and more specifically to semiconductor memory device structures and an improved fabrication process for making the same. The improved fabrication process allows the self-aligned contacts and local interconnects to the processed simultaneously. The process allows the minimal distance requirement between the self-aligned contacts and the local interconnects to be widened, which makes the patterning of self-aligned contacts and local interconnects easier. The widened minimal distance requirement also allows further memory cell shrinkage. The improved structures of self-aligned contacts and local interconnects also have excellent isolation characteristic.
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: June 3, 2008
    Assignee: Macronix International Co., Ltd.
    Inventors: Tuung Luoh, Ling-Wuu Yang, Kuang-Chao Chen
  • Patent number: 7375023
    Abstract: Methods and apparatus for processing substrates to improve polishing uniformity, improve planarization, remove residual material and minimize defect formation are provided. In one aspect, a method is provided for processing a substrate having a conductive material and a low dielectric constant material disposed thereon including polishing a substrate at a polishing pressures of about 2 psi or less and at platen rotational speeds of about 200 cps or greater. The polishing process may use an abrasive-containing polishing composition having up to about 1 wt. % of abrasives. The polishing process may be integrated into a multi-step polishing process.
    Type: Grant
    Filed: March 30, 2006
    Date of Patent: May 20, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Stan D. Tsai, Liang-Yuh Chen, Lizhong Sun, Shijian Li, Feng Q. Liu, Rashid Mavliev, Ratson Morad, Daniel A. Carl
  • Publication number: 20080105980
    Abstract: A method for manufacturing a semiconductor device having a damascene metal/insulator/metal (MIM)-type capacitor and metal lines including providing a semiconductor device; sequentially forming a first interlayer insulating film and a second interlayer insulating film over the semiconductor substrate; simultaneously forming a vias hole and a lower metal line in a line region and a lower electrode in a capacitor region, wherein the lower metal line and the lower electrode are electrically connected to the semiconductor device; sequentially forming a dielectric film, a third interlayer insulating film, a fourth interlayer insulating film and a fifth interlayer insulating film over the semiconductor substrate; and then simultaneously forming a plurality of upper electrodes, a plurality of second vias holes and a plurality of second upper metal lines in the capacitor region electrically connected to the plurality of upper electrodes, a plurality of third vias holes and a plurality of second upper metal lines in th
    Type: Application
    Filed: October 19, 2007
    Publication date: May 8, 2008
    Inventor: Seon-Heui Kim
  • Publication number: 20080079160
    Abstract: An integrated component includes a semiconductor substrate; at least one interconnect applied on the semiconductor substrate; an insulating layer applied on the at least one interconnect; and at least one opening through the insulating layer which interrupts the at least one interconnect into a first section and a second section.
    Type: Application
    Filed: October 5, 2006
    Publication date: April 3, 2008
    Inventors: Gunther Ruhl, Markus Hammer, Regina Kainzbauer
  • Patent number: 7348272
    Abstract: A method of fabricating interconnect is described. A first dielectric layer having an opening is formed over a substrate. A metal layer is filled into the opening. A material layer is formed over the first dielectric layer and the metal layer. A surface treatment process is performed to the material layer so as to form a cap layer on the surface of the metal layer. The material layer and a portion of the first dielectric layer are removed. A second dielectric layer is formed over the substrate, and the surface of the second dielectric layer is higher than that of the cap layer. A planarization process is performed at least to remove a portion of the second dielectric layer and a portion of the cap layer so as to expose the top of the opening.
    Type: Grant
    Filed: August 3, 2005
    Date of Patent: March 25, 2008
    Assignee: United Microelectronics Corp.
    Inventor: Shu-Jen Sung
  • Patent number: 7338907
    Abstract: A dry etch process is described for selectively etching silicon nitride from conductive oxide material for use in a semiconductor fabrication process. Adding an oxidant in the etch gas mixture could increase the etch rate for the silicon nitride while reducing the etch rate for the conductive oxide, resulting in improving etch selectivity. The disclosed selective etch process is well suited for ferroelectric memory device fabrication using conductive oxide/ferroelectric interface having silicon nitride as the encapsulated material for the ferroelectric.
    Type: Grant
    Filed: October 4, 2004
    Date of Patent: March 4, 2008
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Tingkai Li, Sheng Teng Hsu, Bruce D. Ulrich, Mark A. Burgholzer, Ray A. Hill
  • Publication number: 20080020564
    Abstract: A method includes forming a phase change material layer on a substrate using a deposition process that employs a process gas. The process gas includes a germanium source gas, and the germanium source gas includes at least one of the atomic groups “—N?C?O”, “—N?C?S”, “—N?C?Se”, “—N?C?Te”, “—N?C?Po” and “—C?N”.
    Type: Application
    Filed: July 19, 2007
    Publication date: January 24, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Byoung-Jae BAE, Sung-Lae CHO, Jin-Il LEE, Hye-Young PARK, Ji-Eun LIM, Young-Lim PARK
  • Patent number: 7316971
    Abstract: A wire bond pad and method of fabricating the wire bond pad. The method including: providing a substrate; forming an electrically conductive layer on a top surface of the substrate; patterning the conductive layer into a plurality of wire bond pads spaced apart; and forming a protective dielectric layer on the top surface of the substrate in spaces between adjacent wire bond pads, top surfaces of the dielectric layer in the spaces coplanar with coplanar top surfaces of the wire bond pads.
    Type: Grant
    Filed: September 14, 2004
    Date of Patent: January 8, 2008
    Assignee: International Business Machines Corporation
    Inventors: Timothy H. Daubenspeck, Jeffrey P. Gambino, Christopher D. Muzzy, Wolfgang Sauter
  • Patent number: 7317253
    Abstract: A semiconductor device includes a substrate, at least one layer of functional devices formed on the substrate, a first dielectric layer formed over the functional device layer and a first trench/via located in the first dielectric layer. A copper conductor fills the first trench/via. An electromigration inhibiting barrier layer is selectively located over a surface of the copper conductor and not any other remaining exposed surface. An insulating cap layer overlies the barrier layer and the remaining exposed surface. A second dielectric layer overlies the insulating cap layer. A second trench/via is located in the second dielectric layer and extends through the insulating cap layer and the barrier layer. A micro-trench is located within the first dielectric layer and is associated with the formation of the second trench/via. The micro-trench exposes a portion of the copper conductor. A filler fills the micro-trench.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: January 8, 2008
    Assignees: Sony Corporation, Sony Electronics Inc.
    Inventor: Takeshi Nogami