Having Planarization Step Patents (Class 438/631)
  • Publication number: 20080003811
    Abstract: A method for fabricating a storage node contact in a semiconductor device includes forming a landing plug over a substrate, forming a first insulation layer over the landing plug, forming a bit line pattern over the first insulation layer, forming a second insulation layer over the bit line pattern, forming a mask pattern for forming a storage node contact over the second insulation layer, etching the second and first insulation layers until the landing plug is exposed to form a storage node contact hole including a portion having a rounded profile, filling a conductive material in the storage node contact hole to form a contact plug, and forming a storage node over the contact plug.
    Type: Application
    Filed: June 12, 2007
    Publication date: January 3, 2008
    Inventors: Hae-Jung Lee, Ik-Soo Choi, Chang-Youn Hwang, Mi-Hyune You
  • Patent number: 7273775
    Abstract: According to one exemplary embodiment, a method of fabricating a virtual ground memory array includes forming a number of polysilicon segments on a gate dielectric layer, where the gate dielectric layer is situated on a substrate. The method further includes forming a number of bitlines in the substrate, where each of the bitlines is situated adjacent to at least one of the polysilicon segments, and where the bitlines are formed after the polysilicon segments. The method further includes forming a gap-filling dielectric segment over each of the bitlines. The method can further include removing the masking layer and a portion of the gap-filling dielectric segment, depositing an interpoly dielectric layer on the polysilicon segments and on a remaining portion of the gap-filling dielectric segment, and forming a second polysilicon layer on the interpoly dielectric layer.
    Type: Grant
    Filed: October 4, 2005
    Date of Patent: September 25, 2007
    Assignee: Spansion LLC
    Inventor: Hiroyuki Ogawa
  • Patent number: 7255772
    Abstract: A high pressure chamber comprises a chamber housing, a platen, and a mechanical drive mechanism. The chamber housing comprises a first sealing surface. The platen comprises a region for holding the semiconductor substrate and a second sealing surface. The mechanical drive mechanism couples the platen to the chamber housing. In operation, the mechanical drive mechanism separates the platen from the chamber housing for loading of the semiconductor substrate. In further operation, the mechanical drive mechanism causes the second sealing surface of the platen and the first sealing surface of the chamber housing to form a high pressure processing chamber around the semiconductor substrate.
    Type: Grant
    Filed: July 21, 2004
    Date of Patent: August 14, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Maximilian A. Biberger, Frederick Paul Layman, Thomas Robert Sutton
  • Patent number: 7247256
    Abstract: A first chemical mechanical polishing (CMP) slurry includes a polishing agent, an oxidant, a pH control additive, and an oxide film removal retarder which reduces a removal rate of the silicon oxide film. A second chemical mechanical polishing (CMP) slurry includes a polishing agent, an oxidant, a pH control additive, an oxide film removal retarder which reduces a removal rate of silicon oxide, and a defect prevention agent which inhibits scratch defects and/or corrosion defects at a surface of an aluminum film. In a one-step CMP process, either of the first or second slurry is used throughout CMP of an aluminum layer until an upper surface of an underlying silicon oxide layer is exposed. In a two-step CMP process, the first slurry is used in an initial CMP of the aluminum layer, and then the second slurry is used in a subsequent CMP until the upper surface of the underlying silicon layer is exposed.
    Type: Grant
    Filed: October 12, 2004
    Date of Patent: July 24, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-heon Park, Jae-dong Lee, Sung-jun Kim, Chang-ki Hong
  • Publication number: 20070161231
    Abstract: Provided is a method for forming a metal wiring through a damascene process in a semiconductor device. The method includes: forming a metal diffusion barrier on a semiconductor substrate having a via hole and a trench therein; depositing a metal on the metal diffusion barrier, and filling the via hole and trench with the metal; performing a CMP process until an insulating layer is exposed, thereby forming the metal wiring from the metal; etching the exposed insulating layer by predetermined amount; and forming a passivation layer on the entire surface of the semiconductor substrate.
    Type: Application
    Filed: December 26, 2006
    Publication date: July 12, 2007
    Inventor: In Kyu Chun
  • Patent number: 7241668
    Abstract: A method for forming an alignment mark structure for a semiconductor device includes forming an alignment recess at a selected level of the semiconductor device substrate. A first metal layer is formed over the selected substrate level and within the alignment recess, wherein the alignment recess is formed at a depth such that the first metal layer only partially fills the alignment recess. A second metal layer is formed over the first metal layer such that the alignment recess is completely filled. The second metal layer and the first metal layer are then planarized down to the selected substrate level, thereby creating a sacrificial plug of the second layer material within the alignment recess. The sacrificial plug is removed in a manner so as not to substantially roughen the planarized surface at the selected substrate level.
    Type: Grant
    Filed: June 24, 2003
    Date of Patent: July 10, 2007
    Assignee: International Business Machines Corporation
    Inventor: Michael C. Gaidis
  • Patent number: 7238606
    Abstract: Methods for fabricating a copper interconnect of a semiconductor device are disclosed. An example method for fabricating a copper interconnect of a semiconductor device deposits a first insulating layer on a substrate having at least one predetermined structure, forms a trench and via hole through the first insulating layer by using a dual damascene process, and deposits a barrier layer along the bottom and the sidewalls of the trench and via hole.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: July 3, 2007
    Assignee: Dongbu Electronics, Co., Ltd.
    Inventor: In Kyu Chun
  • Patent number: 7235477
    Abstract: The present invention is directed to a multi-layer interconnection circuit module in which plural unit wiring layers are interlayer-connected to each other through a large number of via holes so that they are laminated and formed, wherein respective unit wiring layers (8) to (12) are adapted so that photo-lithographic processing is implemented to a first insulating layer (22) formed by photosensitive insulating resin material to form via hole grooves (25), and photo-lithographic processing is implemented to a second insulating layer (23) formed by photosensitive insulating resin material on the first insulating layer (22) to form wiring grooves (27).
    Type: Grant
    Filed: June 24, 2005
    Date of Patent: June 26, 2007
    Assignee: Sony Corporation
    Inventor: Tsuyoshi Ogawa
  • Patent number: 7226856
    Abstract: An integrated circuit and a method of manufacturing an integrated circuit is provided including providing an integrated circuit having a trench and via provided in a dielectric layer. A nano-electrode-array is formed over the dielectric layer in the trench and via, and a conductor is deposited over the nano-electrode-array. The conductor and the nano-electrode-array are coplanar with a surface of the dielectric layer.
    Type: Grant
    Filed: November 15, 2004
    Date of Patent: June 5, 2007
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Sergey D. Lopatin, Robert Fiordalice, Faivel Pintchovski, Igor Ivanov, Wen Z. Kong, Artur Kolics
  • Patent number: 7223685
    Abstract: The present application discloses process comprising providing a wafer, the wafer comprising an inter-layer dielectric (ILD) having a feature therein, an under-layer deposited on the ILD, and a barrier layer deposited on the under-layer, and a conductive layer deposited in the feature, placing the wafer in an electrolyte, such that at least the barrier layer is immersed in the electrolyte, and applying an electrical potential between the electrode and the wafer.
    Type: Grant
    Filed: June 23, 2003
    Date of Patent: May 29, 2007
    Assignee: Intel Corporation
    Inventors: Tatyana N. Andryushchenko, Anne E. Miller
  • Patent number: 7217649
    Abstract: A system and method for forming a semiconductor in a dual damascene structure including receiving a patterned semiconductor substrate. The semiconductor substrate having a first conductive interconnect material filling multiple features in the pattern. The first conductive interconnect material having an overburden portion. The over burden portion is planarized. The over burden portion is substantially entirely removed in the planarizing process. A mask layer is reduced and a subsequent dielectric layer is formed on the planarized over burden portion. A mask is formed on the subsequent dielectric layer. One or more features are formed in the subsequent dielectric layer and the features are filled with a second conductive interconnect material.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: May 15, 2007
    Assignee: LAM Research Corporation
    Inventors: Andrew D. Bailey, III, Shrikant P. Lohokare
  • Patent number: 7214603
    Abstract: Methods to form interconnect structures utilizing sacrificial filling material layers are described herein. Utilizing the sacrificial filling material makes it possible to reduce damage to interlayer dielectric layers that result in enhanced device performance and/or increased reliability.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: May 8, 2007
    Assignee: International Business Machines Corporation
    Inventors: Ronald Dellaguardia, Elbert Huang, Qinghuang Lin, Robert Miller
  • Patent number: 7208406
    Abstract: Disclosed is a method for forming a gate in a semiconductor device. The method includes the steps of: sequentially forming a gate insulation layer and an inter-layer insulation layer on a substrate; patterning the inter-layer insulation layer into a predetermined configuration, thereby forming a patterned inter-layer insulation layer; forming a nitride layer on the patterned inter-layer insulation layer; simultaneously etching the nitride layer and the substrate, thereby obtaining a spacer on sidewalls of the patterned inter-layer insulation layer and a trench having a predetermined depth in the substrate; forming a conductive layer on the trench; and planarizing the conductive layer, thereby forming the gate.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: April 24, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Kye-Soon Park
  • Patent number: 7202157
    Abstract: A method for forming a metallic interconnect in a semiconductor device is disclosed. An example method forms an IDL on a substrate including predetermined devices, forms a via hole in the IDL, depositing a first metal diffusion preventive layer and a metal layer to form a via plug on the IDL, and performs a planarization process using the first metal diffusion preventive layer using as an etching stop layer. In addition, the example method forms a metallic interconnect on the first metal diffusion preventive layer, deposits the other metal diffusion preventive layer on the metallic interconnect, and etches a predetermined part of first and second metal diffusion preventive layers and the metallic interconnect using a mask pattern.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: April 10, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Yong Soo Ahn
  • Patent number: 7199041
    Abstract: Methods for fabricating an interlayer dielectric layer of a semiconductor device are disclosed. An illustrated method comprises forming a metallic interconnect on a substrate; depositing an SRO layer on the metallic interconnect while the substrate is located in a chamber; and forming an FSG layer on the SRO layer without removing the substrate from the chamber.
    Type: Grant
    Filed: October 12, 2004
    Date of Patent: April 3, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Geon Ook Park
  • Patent number: 7199043
    Abstract: Disclosed in a method of forming a copper wiring in a semiconductor device. A copper layer buries a damascene pattern in which an interlayer insulating film of a low dielectric constant. The copper layer is polished by means of a chemical mechanical polishing process to form a copper wiring within a damascene pattern. At this time, the chemical mechanical polishing process is overly performed so that the top surface of the copper wiring is concaved and is lower than the surface of the interlayer insulating film of the low dielectric constant neighboring it. Furthermore, an annealing process is performed so that the top surface of the copper wiring is changed from the concaved shape to a convex shape while stabilizing the copper wiring. A copper anti-diffusion insulating film is then formed on the entire structure including the top surface of the copper wiring having the convex shape.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: April 3, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Kyun Park
  • Patent number: 7189638
    Abstract: A method for manufacturing a metal structure using a trench includes etching a semiconductor substrate to form a trench, depositing a seed layer over the semiconductor substrate including in the trench, stacking an insulating layer over the seed layer, removing a portion of the insulating layer to expose a portion of the seed layer at a bottom of the trench, filling the trench with a metal material, and removing the seed layer and the insulating layer on the semiconductor substrate. As a result, a subsequent process in forming a multi-layered structure may be easily carried out, thereby simplifying a manufacturing process.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: March 13, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-sik Shim, Kyung-won Na, Sang-on Choi, Hae-seok Park
  • Patent number: 7172908
    Abstract: An improved magnetoresistive memory device has a reduced distance between the magnetic memory element and a conductive memory line used for writing to the magnetic memory element. The reduced distance is facilitated by forming the improved magnetoresistive memory device according to a method that includes forming a mask over the magnetoresistive memory element and forming an insulating layer over the mask layer, then removing portions of the insulating layer using a planarization process. A conductive via can then be formed in the mask layer, for example using a damascene process. The conductive memory line can then be formed over the mask layer and conductive via.
    Type: Grant
    Filed: February 15, 2005
    Date of Patent: February 6, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yuan-Hung Liu, Chih-Ta Wu, Lan-Lin Chao, Yeur-Luen Tu, Wen-Chin Lin, Chia-Shiung Tsai
  • Patent number: 7172962
    Abstract: On a substrate are sequentially formed a first interconnection 203, a diffusion barrier film 205 and a second insulating film 207, and on the upper surface of the second insulating film 207 is then formed a sacrificial film 213. Next, a via hole 211 and an interconnection trench 217 are formed, and on the sacrificial film 213 are then formed a barrier metal film 219 and a copper film 221. CMP for removing the extraneous copper film 221 and barrier metal film 219 are conducted in a two-step process, i. e., the first polishing where polishing is stopped on the surface of the barrier metal film 219 and the second polishing where the remaining barrier metal film 219 and the tapered sacrificial film 213 are polished.
    Type: Grant
    Filed: December 1, 2003
    Date of Patent: February 6, 2007
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yoshio Okayama, Hayato Nakashima, Yoshinari Ichihashi
  • Patent number: 7163891
    Abstract: A dynamic random access memory (DRAM) structure having a distance less than 0.14 um between the contacts to silicon and the gate conductor is disclosed. In addition a method for forming the structure is disclosed, which includes forming the DRAM array contacts and the contacts to silicon simultaneously.
    Type: Grant
    Filed: December 3, 2004
    Date of Patent: January 16, 2007
    Assignee: Infineon Technologies AG
    Inventors: Michael Maldei, Brian Cousineau, Guenter Gerstmeier, Jon S. Berry, II, Steven M. Baker, Jinhwan Lee
  • Patent number: 7163886
    Abstract: In the manufacture of a semiconductor device having a high-performance and high-reliability, a silicon nitride film 17 for self alignment, which film is formed to cover the gate electrode of a MISFET, is formed at a substrate temperature of 400° C. or greater by plasma CVD using a raw material gas including monosilane and nitrogen. A silicon nitride film 44 constituting a passivation film is formed at a substrate temperature of about 350° C. by plasma CVD using a raw material gas including monosilane, ammonia and nitrogen. The hydrogen content contained in the silicon nitride film 17 is smaller than that contained in the silicon nitride film 44, making it possible to suppress hydrogen release from the silicon nitride film 17.
    Type: Grant
    Filed: August 13, 2004
    Date of Patent: January 16, 2007
    Assignees: Hitachi Tokyo Electronics Co., Ltd., Renesas Technology Corp.
    Inventors: Tsuyoshi Fujiwara, Masahiro Ushiyama, Katsuhiko Ichinose, Naohumi Ohashi, Tetsuo Saito
  • Patent number: 7157354
    Abstract: Disclosed is a method for gettering a transition metal impurity diffused in a silicon crystal at ultra high-speeds to form deep impurity levels therein. The method comprises codoping two kinds of impurities: oxygen and carbon, into silicon, and thermally annealing the impurity-doped silicon to precipitate an impurity complex of an atom of the transition metal impurity, the C and the O, in the silicon crystal, so that the transition metal impurity is confined in the silicon crystal to prevent the ultra high-speed diffusion of the transition metal impurity and electrically deactivate deep impurity levels to be induced by the transition metal impurity.
    Type: Grant
    Filed: January 9, 2003
    Date of Patent: January 2, 2007
    Assignee: Japan Science and Technology Agency
    Inventor: Hiroshi Yoshida
  • Patent number: 7151053
    Abstract: Methods are provided for depositing an oxygen-doped dielectric layer. The oxygen-doped dielectric layer may be used for a barrier layer or a hardmask. In one aspect, a method is provided for processing a substrate including positioning the substrate in a processing chamber, introducing a processing gas comprising an oxygen-containing organosilicon compound, carbon dioxide, or combinations thereof, and an oxygen-free organosilicon compound to the processing chamber, and reacting the processing gas to deposit an oxygen-doped dielectric material on the substrate, wherein the dielectric material has an oxygen content of about 15 atomic percent or less. The oxygen-doped dielectric material may be used as a barrier layer in damascene or dual damascene applications.
    Type: Grant
    Filed: April 28, 2005
    Date of Patent: December 19, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Ju-Hyung Lee, Ping Xu, Shankar Venkataraman, Li-Qun Xia, Fei Han, Ellie Yieh, Srinivas D. Nemani, Kangsub Yim, Farhad K. Moghadam, Ashok K. Sinha, Yi Zheng
  • Patent number: 7144808
    Abstract: The present invention provides, in one embodiment, method of forming a barrier layer 300 over a semiconductor substrate 110. The method comprises forming an opening 120 in an insulating layer 130 located over a substrate thereby uncovering an underlying copper layer 140. The method further comprises exposing the opening and the underlying copper layer to a plasma-free reducing atmosphere 200 in the presence of a thermal anneal. The also comprises depositing a barrier layer in the exposed opening and on the exposed underlying copper layer. Such methods and resulting conductive structures thereof may be advantageously used in methods to manufacture integrated circuits comprising copper interconnects.
    Type: Grant
    Filed: June 13, 2005
    Date of Patent: December 5, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Sanjeev Aggarwal, Kelly J. Taylor
  • Patent number: 7135398
    Abstract: An advanced back-end-of-line (BEOL) interconnect structure having a hybrid dielectric is disclosed. The inter-layer dielectric (ILD) for the via level is preferably different from the ILD for the line level. In a preferred embodiment, the via-level ILD is formed of a low-k SiCOH material, and the line-level ILD is formed of a low-k polymeric thermoset material.
    Type: Grant
    Filed: July 29, 2004
    Date of Patent: November 14, 2006
    Assignee: International Business Machines Corporation
    Inventors: John A. Fitzsimmons, Stephen E. Greco, Jia Lee, Stephen M. Gates, Terry Spooner, Matthew S. Angyal, Habib Hichri, Theordorus E. Standaert, Glenn A. Biery
  • Patent number: 7135400
    Abstract: A method for avoiding resist poisoning during a damascene process is disclosed. A semiconductor substrate is provided with a low-k dielectric layer (k?2.9) thereon, a SiC layer over the low-k dielectric layer, and a blocking layer over the SiC layer. The blocking layer is used to prevent unpolymerized precursors diffused out from the low-k dielectric layer from contacting an overlying resist. A bottom anti-reflection coating (BARC) layer is formed on the blocking layer. A resist layer is formed on the BARC layer, the resist layer having an opening to expose a portion of the BARC layer. A damascene structure is formed in the low-k dielectric layer by etching the BARC layer, the blocking layer, the SiC layer, and the low-k dielectric layer through the opening.
    Type: Grant
    Filed: April 26, 2004
    Date of Patent: November 14, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Liang Lien, Charlie C J Lee, Chih-Ning Wu, Jain-Hon Chen
  • Patent number: 7132363
    Abstract: Damascene processing is implemented with dielectric barrier films (50, 90, 91) for improved step coverage and reduced contact resistance. Embodiments include the use of two different dielectric films (50, 31) to avoid misalignment problems. Embodiments further include dual damascene (100A, 100B) processing using Cu metallization (100).
    Type: Grant
    Filed: March 27, 2001
    Date of Patent: November 7, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Yang, Darrell M. Erb, Fei Wang
  • Patent number: 7132364
    Abstract: A method for forming a metal interconnect of a semiconductor device defined by a fine trench or via is disclosed. The method includes forming a first interconnect insulating layer on a substrate. A via hole is formed on a predetermined portion of the first interconnect insulating layer. A second interconnect insulating layer is formed on the first interconnect insulating layer. The second interconnect insulating layer is planarized. A hard mask layer is formed on the second interconnect insulating layer. The hard mask layer is patterned to remove selective portions. A trench is formed by etching the second interconnect insulating layer. A metal interconnect is formed in the trench.
    Type: Grant
    Filed: February 17, 2004
    Date of Patent: November 7, 2006
    Assignee: DongbuAnam Semiconductor Inc.
    Inventor: Ki Young Kim
  • Patent number: 7115510
    Abstract: The present invention relates to a process for forming a near-planar or planar layer of a conducting material, such as copper, on a surface of a workpiece using an ECMPR technique. The process preferably uses at least two separate plating solution chemistries to form a near-planar or planar copper layer on a semiconductor substrate that has features or cavities on its surface.
    Type: Grant
    Filed: November 22, 2004
    Date of Patent: October 3, 2006
    Assignee: ASM Nutool, Inc.
    Inventors: Bulent M. Basol, Homayoun Talieh, Cyprian E. Uzoh
  • Patent number: 7112526
    Abstract: In the method of manufacturing a semiconductor device, via holes and first trenches to form air gaps are concurrently formed in a first insulating film on a semiconductor substrate and a second insulating film is formed thereon. Thereafter, the second insulating film lying outside the area corresponding to the regions where the first trenches to form air gaps are formed is partially removed to form trenches for wiring by using a mask. A plurality of wirings are formed by filling in the trenches for wiring with a metal film. The second insulating film remaining in the regions where the first trenches to form air gaps are formed is then removed to form second trenches to form air gaps. Subsequently, in forming a third insulating film, air gaps are formed within the second trenches to form air gap.
    Type: Grant
    Filed: January 14, 2004
    Date of Patent: September 26, 2006
    Assignee: NEC Electronics Corporation
    Inventor: Makoto Okada
  • Patent number: 7101727
    Abstract: A pixel cell is formed by locating a first passivation layer over the final layer of metal lines. Subsequently, the uneven, non-uniform passivation layer is subjected to a planarization process such as chemical mechanical polishing, mechanical abrasion, or etching. A spin-on-glass layer may be deposited over the non-uniform passivation layer prior to planarization. Once a uniform, flat first passivation layer is achieved over the final metal, a second passivation layer, a color filter array, or a lens forming layer with uniform thickness is formed over the first passivation layer. The passivation layers can be oxide, nitride, a combination of oxide and nitride, or other suitable materials. The color filter array layer may also undergo a planarization process prior to formation of the lens forming layer. The present invention is also applicable to other devices.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: September 5, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Howard E. Rhodes
  • Patent number: 7098255
    Abstract: A process for producing a finely cellular polyurethane foam by mixing a first ingredient comprising an isocyanate compound and a second ingredient comprising a compound containing an active hydrogen group, characterized by comprising adding a nonionic silicone surfactant containing no hydroxyl group to at least one of the first ingredient and the second ingredient in an amount of 0.1 to 5 wt %, excluding 5 wt %, based on the total amount of the first ingredient and the second ingredient, subsequently agitating the surfactant containing ingredient together with an unreactive gas, which has no reactivity to isocyanate group or active hydrogen group, to disperse the unreactive gas as fine bubbles to prepare a bubble dispersion and then mixing the bubble dispersion with the remaining ingredient to cure the resultant mixture and forming finely cellular structure into the resultant polyurethane foam by the fine bubbles of the bubble dispersion.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: August 29, 2006
    Assignee: Toyo Tire & Rubber Co., Ltd.
    Inventors: Hiroshi Seyanagi, Kaoru Inoue, Kazuyuki Ogawa, Takashi Masui, Koichi Ono
  • Patent number: 7087534
    Abstract: Methods for removing titanium-containing layers from a substrate surface where those titanium-containing layers are formed by chemical vapor deposition (CVD) techniques. Titanium-containing layers, such as titanium or titanium nitride, formed by CVD are removed from a substrate surface using a sulfuric acid (H2SO4) solution. The H2SO4 solution permits selective and uniform removal of the titanium-containing layers without detrimentally removing surrounding materials, such as silicon oxides and tungsten. Where the titanium-containing layers are applied to the sidewalls of a hole in the substrate surface and a plug material such as tungsten is used to fill the hole, subsequent spiking of the H2SO4 solution with hydrogen peroxide (H2O2) may be used to recess the titanium-containing layers and the plug material below the substrate surface.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: August 8, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Gary Chen
  • Patent number: 7087517
    Abstract: A method includes forming a barrier layer on a substrate surface including at least one contact opening; forming an interconnect in the contact opening; and reducing the electrical conductivity of the barrier layer. A method including forming a barrier layer on a substrate surface including a dielectric layer and a contact opening, depositing a conductive material in the contact opening, removing the conductive material sufficient to expose the barrier layer on the substrate surface, and reducing the electrical conductivity of the barrier layer. An apparatus including a circuit substrate including at least one active layer including at least one contact point, a dielectric layer on the at least one active layer, a barrier layer on a surface of the dielectric layer, a portion of the barrier layer having been transformed from a first electrical conductivity to a second different and reduced electrical conductivity, and an interconnect coupled to the at least one contact point.
    Type: Grant
    Filed: December 24, 2003
    Date of Patent: August 8, 2006
    Assignee: Intel Corporation
    Inventors: Tatyana N. Andreyushchenko, Kenneth Cadien, Paul Fischer, Valery M. Dubin
  • Patent number: 7074702
    Abstract: Disclosed are methods of manufacturing semiconductor devices, which may solve problems such as a short of upper wiring, etc., which are caused by a metal residue generated during chemical mechanical polishing of metallization of the semiconductor device, by depositing a predetermined insulating layer on the metal residue. The method may include forming a first insulating layer having an opening on a semiconductor substrate, depositing a metal layer on the first insulating layer to sufficiently fill the opening, planarizing the metal layer to expose the first insulating layer, forming a second insulating layer on the exposed first insulating layer and the metal layer, selectively etching and removing the second insulating layer to expose the metal layer, and forming a metallization layer on the metal layer.
    Type: Grant
    Filed: December 26, 2003
    Date of Patent: July 11, 2006
    Assignee: Donghu Electronics, Co. Ltd.
    Inventor: Seung Hyun Kim
  • Patent number: 7064057
    Abstract: An apparatus for electropolishing a conductive material layer is disclosed. The apparatus comprises a porous conductive member configured to contact the conductive layer and having a first connector for receiving electrical power, an electrode insulatively coupled to the porous conductive member having a second connector configured to receive electrical power, a holder insulatively coupled to the porous conductive member and the electrode configured to establish relative motion between the porous conductive member and the conductive layer, and a power supply coupled to the first connector and the second connector configured to supply the electrical power between the electrode and the porous conductive member for electropolishing the conductive layer.
    Type: Grant
    Filed: November 21, 2003
    Date of Patent: June 20, 2006
    Assignee: ASM Nutool, Inc.
    Inventor: Bulent M. Basol
  • Patent number: 7060608
    Abstract: Explosive forces are used to fill interconnect material into trenches, via holes and other openings in semiconductor products. The interconnect material may be formed of metal. The metal may be heated prior to the force filling step. The explosive forces may be generated, for example, by igniting mixtures of gases such as hydrogen and oxygen, or liquids such as alcohol and hydrogen peroxide. To control or buffer the explosive force, a baffle may be interposed between the explosions and the products being processed. The baffle may be formed of a porous material to transmit waves to the semiconductor products while protecting the products from contaminants. Various operating parameters, including the flow rate of the fuel and the oxidizing materials, may be positively controlled. In another embodiment of the invention, a piston is used to transmit the explosive force. If desired, an annular space at the periphery of the piston may be maintained at atmospheric pressure to protect against wafer contamination.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: June 13, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Scott E. Moore
  • Patent number: 7060606
    Abstract: Methods and apparatus for processing substrates to improve polishing uniformity, improve planarization, remove residual material and minimize defect formation are provided. In one aspect, a method is provided for processing a substrate having a conductive material and a low dielectric constant material disposed thereon including polishing a substrate at a polishing pressures of about 2 psi or less and at platen rotational speeds of about 200 cps or greater. The polishing process may use an abrasive-containing polishing composition having up to about 1 wt. % of abrasives. The polishing process may be integrated into a multi-step polishing process.
    Type: Grant
    Filed: October 22, 2004
    Date of Patent: June 13, 2006
    Assignee: Applied Materials Inc.
    Inventors: Stan D. Tsai, Liang-Yuh Chen, Lizhong Sun, Shijian Li, Feng Q. Liu, Rashid Mavliev, Ratson Morad, Daniel A. Carl
  • Patent number: 7060634
    Abstract: An integrated circuit is provided comprising a substrate and discrete areas of electrically insulating and electrically conductive material, wherein the electrically insulating material is a hybrid organic-inorganic material that has a density of 1.45 g/cm3 or more and a dielectric constant of 3.0 or less. The integrated circuit can be made by a method comprising: providing a substrate; forming discrete areas of electrically insulating and electrically conductive material on the substrate; wherein the electrically insulating material is deposited on the substrate followed by heating at a temperature of 350° C. or less; and wherein the electrically insulating material is a hybrid organic-inorganic material that has a density of 1.45 g/cm3 or more after densification. Also disclosed is a method for making an integrated circuit comprising performing a dual damascene method with an electrically conductive material and a dielectric, the dielectric being a directly photopatterned hybrid organic-inorganic material.
    Type: Grant
    Filed: January 17, 2003
    Date of Patent: June 13, 2006
    Assignee: Silecs Oy
    Inventors: Juha T. Rantala, Jason S. Reid, Nungavram S. Viswanathan, T. Teemu T. Tormanen
  • Patent number: 7041566
    Abstract: The present invention relates to a method for forming an inductor in a semiconductor device. The method comprises the steps of forming a first metal layer on a semiconductor substrate in which a predetermined structure is formed, and then patterning the first metal layer so that a predetermined region of the semiconductor substrate is exposed; forming a first copper layer on the entire resulting surface and then polishing the first copper layer; forming a second metal layer on the resulting surface including the polished first copper layer and then patterning the second metal layer so that predetermined regions of the first metal layer and the first copper layer are exposed; forming a second copper layer on the formed resulting surface; and polishing the resulting surface and stripping the first and second metal layers.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: May 9, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung Gyu Pyo
  • Patent number: 7041574
    Abstract: A method of forming a composite intermetal dielectric structure is provided. An initial intermetal dielectric structure is provided, which includes a first dielectric layer and two conducting lines. The two conducting lines are located in the first dielectric layer. A portion of the first dielectric layer is removed between the conducting lines to form a trench. The trench is filled with a second dielectric material. The second dielectric material is a low-k dielectric having a dielectric constant less than that of the first dielectric layer.
    Type: Grant
    Filed: July 19, 2004
    Date of Patent: May 9, 2006
    Assignee: Infineon Technologies AG
    Inventors: Sun-Oo Kim, Markus Naujok, Andy Cowley
  • Patent number: 7037835
    Abstract: Provided are an interconnection of a semiconductor device which includes a capping layer and a method for forming the interconnection. The interconnection of the semiconductor device is a copper damascene interconnection where the capping layer is formed as a dual layer of a silicon nitride layer and silicon carbide layer on a copper layer processed by chemical mechanical polishing (CMP). Therefore, it is possible to maintain a high etching selectivity and a low dielectric constant of the silicon carbide layer while providing superior leakage suppression.
    Type: Grant
    Filed: December 23, 2003
    Date of Patent: May 2, 2006
    Assignee: Samsung Elecrtonics, Co., Ltd.
    Inventors: Kyoung-woo Lee, Soo-geun Lee, Ki-chul Park, Won-sang Song
  • Patent number: 7025661
    Abstract: A high throughput chemical mechanical polishing process is disclosed. A substrate having thereon a top bulk metal layer and a lower barrier layer is prepared. The top bulk metal layer is polished at a substantial constant removal rate to expose the barrier layer by utilizing a first platen and first slurry being selective to the barrier layer. The exposed barrier layer is then polished by using a second platen and second slurry. The first slurry has a copper to barrier polishing selectivity of greater than 30.
    Type: Grant
    Filed: September 16, 2004
    Date of Patent: April 11, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Chia-Lin Hsu, Teng-Chun Tsai
  • Patent number: 7015094
    Abstract: A ferroelectric memory device and a method of fabricating the same are disclosed. Four interlayer dielectric layers are stacked on cell array and peripheral circuit regions on a semiconductor substrate. A gate contact pad and a source/drain contact pad are connected to a gate electrode and a source/drain of the peripheral circuit transistor through the first interlayer dielectric layer. A gate contact plug and a source/drain contact plug are respectively connected to the gate contact pad and the source/drain contact pad through the second interlayer dielectric layer. First via holes expose the gate contact plug and the source contact plug through the third interlayer dielectric layer. A first interconnection extends between the third and fourth interlayer dielectric layers, covering the sidewalls of the first via holes and connected to at least one of the gate contact plug and the source/drain contact plug.
    Type: Grant
    Filed: September 3, 2003
    Date of Patent: March 21, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Yong-Tak Lee
  • Patent number: 7014957
    Abstract: The subject invention is a system, apparatus and/or method of forming interconnects on a semiconductor wafer. Particularly, the subject invention provides interconnect routing using parallel lines on a semiconductor wafer. The method includes producing a plurality of spaced, parallel interconnects on a wafer, and producing interruptions in selective ones of the plurality of interconnects where the connection should be disrupted. Preferably, the plurality of spaced, parallel lines are formed over the entire die region of the wafer and are spaced from one another by a predetermined width. In one form, a mask having a plurality of spaced, parallel lines may be used.
    Type: Grant
    Filed: December 31, 2002
    Date of Patent: March 21, 2006
    Assignee: LSI Logic Corporation
    Inventors: Paymen Zarkesh-Ha, Kenneth J Doniger, William M. Loh
  • Patent number: 6997776
    Abstract: The invention relates to a process for producing a semiconductor wafer by simultaneous polishing of a front surface and a back surface of the semiconductor wafer with a polishing fluid between rotating polishing plates during a polishing run which lasts for a polishing time, the semiconductor wafer being located in a cutout in a carrier having a defined carrier thickness and being held on a defined geometric path, the semiconductor wafer having a starting thickness prior to polishing and a final thickness after polishing. The polishing time for the polishing run is calculated from data which include the starting thickness of the semiconductor wafer and the carrier thickness as well as the starting thickness and final thickness and the flatness of a semiconductor wafer which was polished during a polishing run preceding the present polishing run.
    Type: Grant
    Filed: January 27, 2005
    Date of Patent: February 14, 2006
    Assignee: Siltronic AG
    Inventors: Gunther Kann, Manfred Thurner, Karl-Heinz Wajand, Armin Deser, Markus Schnappauf
  • Patent number: 6995090
    Abstract: A polishing slurry for CMP of an SiC series compound film, includes colloidal silica having a primary particle diameter ranging from 5 nm to 30 nm, and at least one acid selected from the group consisting of an amino acid having a benzene ring and an organic acid having a heterocycle.
    Type: Grant
    Filed: December 23, 2002
    Date of Patent: February 7, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Gaku Minamihaba, Hiroyuki Yano, Nobuyuki Kurashima
  • Patent number: 6992002
    Abstract: An interconnect structure for use in semiconductor devices which interconnects a plurality of dissimilar metal wiring layers, which are connected vias, by incorporating shaped voids in the metal layers. The invention also discloses a method by which such structures are constructed.
    Type: Grant
    Filed: November 26, 2002
    Date of Patent: January 31, 2006
    Assignee: International Business Machines Corporation
    Inventors: Timothy G. Dunham, Ezra D. B. Hall, Howard S. Landis, Mark A. Lavin, William C. Leipold
  • Patent number: 6984581
    Abstract: Highly porous, low-k dielectric materials are mechanically reinforced to enable the use of these low-k materials as interlayer dielectrics in advanced integrated circuits such as those which incorporate highly porous ILD materials in a Cu damascene interconnect technology. An integrated circuit, embodying such a mechanically reinforced ILD generally includes a substrate having interconnected electrical elements therein, a first dielectric layer disposed over the substrate, a plurality of electrically insulating structures disposed on the first dielectric layer, and a second dielectric layer disposed on the first dielectric layer such that the second dielectric surrounds the plurality of structures.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: January 10, 2006
    Assignee: Intel Corporation
    Inventor: Lawrence D. Wong
  • Patent number: 6972217
    Abstract: A low-k interconnect dielectric layer is strengthened by forming pillars of hardened material in the low-k film. An E-beam source is used to expose a plurality of pillar locations. The locations are exposed with a predetermined power and exposure time to convert the low-k film in the selected locations to pillars having higher hardness and strength than the surrounding portions of the low-k film.
    Type: Grant
    Filed: December 23, 2002
    Date of Patent: December 6, 2005
    Assignee: LSI Logic Corporation
    Inventors: Derryl J. Allman, Charles May