Diverse Conductors Patents (Class 438/642)
  • Patent number: 7737024
    Abstract: A first layer of titanium nitride (TiN) is formed on a semiconductor structure, such as an interconnect via. Then, a second layer of TiN is formed on the first layer of TiN. The first layer of TiN is amorphous. The second layer of TiN is polycrystalline, having a mixed grain orientation. Finally, an aluminum film is formed on the second layer of titanium nitride. Optionally, a titanium silicide layer is formed on the semiconductor structure prior to the step of forming the first layer of titanium nitride. Interconnects formed according to the invention have polycrystalline aluminum films with grain sizes of approximately less than 0.25 microns.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: June 15, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Wing-Cheong Gilbert Lai, Gurtei Singh Sandhu
  • Patent number: 7718527
    Abstract: A method is provided for integrating cobalt tungsten cap layers into manufacturing of semiconductor devices to improve electromigration and stress migration in copper (Cu) metal. One embodiment includes providing a patterned substrate containing a recessed feature formed in a low-k material and a first metallization layer at the bottom of the feature, forming a cobalt tungsten cap layer on the first metallization layer, depositing a barrier layer in the recessed feature, including on the low-k dielectric material and on the first cobalt metal cap layer, and filling the recessed feature with Cu metal. Another embodiment includes providing a patterned substrate having a substantially planar surface with Cu paths and low-k regions, and forming a cobalt tungsten cap layer on the Cu paths.
    Type: Grant
    Filed: October 1, 2008
    Date of Patent: May 18, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Tadahiro Ishizaka, Shigeru Mizuno, Miho Jomen
  • Publication number: 20100105169
    Abstract: A semiconductor device includes a semiconductor substrate and a via electrode. The via electrode has a first portion on the substrate and extends towards the substrate and has a plurality of spikes that extends from the first portion into the substrate, each of the spikes being spaced apart form one another.
    Type: Application
    Filed: August 18, 2009
    Publication date: April 29, 2010
    Inventors: Ho-jin Lee, Hyun-soo Chung, Chang-seong Jeon, Sang-sick Park, Jae-hyun Phee
  • Patent number: 7704885
    Abstract: A method for fabricating a semiconductor device is provided. The method of fabricating a semiconductor device provides a semiconductor substrate; forming a first insulating layer, a first conductive layer and a chemical mechanical polishing (CMP) stop layer over the semiconductor substrate in sequence; forming openings in the chemical mechanical polishing (CMP) stop layer and the underlying first conductive layer to expose the first insulating layer, thereby leaving a patterned chemical mechanical polishing (CMP) stop layer and a patterned first conductive layer; forming a second insulating layer on the patterned chemical mechanical polishing (CMP) stop layer, filling in the openings; performing a planarization process to remove a portion of the second insulating layer until the patterned chemical mechanical polishing (CMP) stop layer is exposed, thereby leaving a remaining second insulating layer in the openings; removing the patterned chemical mechanical polishing (CMP) stop layer.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: April 27, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kern-Huat Ang, Po-Jen Wang
  • Patent number: 7682968
    Abstract: A method for forming germano-silicide contacts atop a Ge-containing layer that is more resistant to etching than are conventional silicide contacts that are formed from a pure metal is provided. The method of the present invention includes first providing a structure which comprises a plurality of gate regions located atop a Ge-containing substrate having source/drain regions therein. After this step of the present invention, a Si-containing metal layer is formed atop the said Ge-containing substrate. In areas that are exposed, the Ge-containing substrate is in contact with the Si-containing metal layer. Annealing is then performed to form a germano-silicide compound in the regions in which the Si-containing metal layer and the Ge-containing substrate are in contact; and thereafter, any unreacted Si-containing metal layer is removed from the structure using a selective etch process. In some embodiments, an additional annealing step can follow the removal step.
    Type: Grant
    Filed: April 23, 2008
    Date of Patent: March 23, 2010
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., Roy A. Carruthers, Christophe Detavernier, Simon Gaudet, Christian Lavoie, Huiling Shang
  • Patent number: 7682886
    Abstract: The present disclosure relates to a display device comprising an insulating substrate; a source electrode and a drain electrode on the insulating substrate and separated by a channel area; an organic semiconductor layer formed in the channel area and on at least a portion of the source electrode and at least a portion of the drain electrode; and a self-assembly monolayer having a first portion disposed between the organic semiconductor layer and the source electrode and a second portion disposed between the organic semiconductor layer and the drain electrode to reduce contact resistance between the electrodes and the organic semiconductor layer. Thus, embodiments of present invention provide a display device including a TFT that is enhanced in its performance.
    Type: Grant
    Filed: December 1, 2008
    Date of Patent: March 23, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Bo-sung Kim, Joon-hak Oh, Yong-uk Lee
  • Patent number: 7674688
    Abstract: A sawing method for a Micro Electro-Mechanical Systems (MEMS) semiconductor device, wherein a gum material is disposed between a wafer having at least one MEMS and a carrier, and the gum material is disposed around the MEMS. The wafer is sawed according to the position correspondingly above the gum material. Finally, the carrier and the gum material are removed. By disposing the gum material between the carrier and the wafer, the MEMS are protected, and the wafer and the MEMS can avoid the pollution of water and foreign material, so that the yield can be improved. Furthermore, the wafer is sawed from the backside till the gum material without sawing through the gum material, so that the carrier is not sawed. Therefore, the carrier can be reused, such that the cost is reduced.
    Type: Grant
    Filed: March 20, 2008
    Date of Patent: March 9, 2010
    Assignee: Advanced Semiconductor Engineering, Inc.
    Inventor: Wei-Min Hsiao
  • Publication number: 20100052173
    Abstract: A first impurity diffusion layer in a memory cell portion and a second impurity diffusion layer in a peripheral circuit portion are provided in a surface of a semiconductor substrate and having upper faces substantially flush with each other. First and second insulating films are formed to cover the upper faces of the impurity diffusion layers, and having substantially uniform film thicknesses. A first metal plug is formed in the insulating films, and connected to the first impurity diffusion layer. A second metal plug is formed in the first insulating film, to have a lower height than the first metal plug, and is connected to the second impurity diffusion layer. A first metal interconnection is connected to an upper end portion of the first metal plug, and having an upper face embedded in and flush with the second insulating film. A second metal interconnection is connected to an upper end portion of the second metal plug, and having an upper face embedded in and flush with the second insulating film.
    Type: Application
    Filed: August 27, 2009
    Publication date: March 4, 2010
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Hidefumi Nawata, Kikuko Sugimae, Akihiro Kajita, Takamichi Tsuchiya
  • Patent number: 7659195
    Abstract: A method for forming metal lines of a semiconductor device is disclosed. The metal line forming method includes forming plugs by perforating via-holes in an interlayer dielectric layer formed on a semiconductor substrate and burying a conductive material in the via-holes, sequentially forming at least two metal layers on the interlayer dielectric layer formed with the plugs, the metal layers having a difference in the size of metal grains of each metal layer, etching an uppermost first metal layer of the at least two metal layers using a photoresist pattern formed on the first metal layer as an etching mask using a first etching gas, and etching the partially etched first metal layer using a second etching gas.
    Type: Grant
    Filed: October 31, 2008
    Date of Patent: February 9, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Sang Chul Shim
  • Publication number: 20090278259
    Abstract: A semiconductor device includes an insulation film formed above a semiconductor substrate, a conductor containing Cu formed in the insulation film, and a layer film formed between the insulation film and the conductor and formed of a first metal film containing Ti and a second metal film different from the first metal film, a layer containing Ti and Si is formed on the surface of the conductor.
    Type: Application
    Filed: February 5, 2009
    Publication date: November 12, 2009
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventors: Takahiro KOUNO, Shinichi AKIYAMA, Hirofumi WATATANI, Tamotsu OWADA
  • Patent number: 7595269
    Abstract: By forming a tin and nickel-containing copper alloy on an exposed copper surface, which is treated to have a copper oxide thereon, a reliable and highly efficient capping layer may be provided. The tin and nickel-containing copper alloy may be formed in a gaseous ambient on the basis of tin hydride and nickel, carbon monoxide in a thermally driven reaction.
    Type: Grant
    Filed: August 25, 2006
    Date of Patent: September 29, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christof Streck, Volker Kahlert, Alexander Hanke
  • Patent number: 7572662
    Abstract: A method of fabricating a phase change RAM (PRAM) having a fullerene layer is provided. The method of fabricating the PRAM may include forming a bottom electrode, forming an interlayer dielectric film covering the bottom electrode, and forming a bottom electrode contact hole exposing a portion of the bottom electrode in the interlayer dielectric film, forming a bottom electrode contact plug by filling the bottom electrode contact hole with a plug material, forming a fullerene layer on a region including at least an upper surface of the bottom electrode contact plug and sequentially stacking a phase change layer and an upper electrode on the fullerene layer. The method may further include forming a switching device on a substrate and a bottom electrode connected to the switching device, forming an interlayer dielectric film covering the bottom electrode and forming a bottom electrode contact hole exposing a portion of the bottom electrode in the interlayer dielectric film.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: August 11, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yoon-ho Khang, Sang-Mock Lee, Jin-seo Noh, Woong-Chul Shin
  • Patent number: 7569478
    Abstract: In a method for manufacturing a semiconductor device having a dual damascene structure, a semiconductor substrate formed by stacking a trench mask and a via hole resist mask on an insulating film is loaded into a processing chamber, and a via hole is formed by etching the insulating film through the via hole resist mask. Then, the via hole resist mask is removed by an ashing process and a protective film is formed on an underlayer of the insulating film; Thereafter, a trench is formed by etching the insulating film through the trench mask, and the semiconductor substrate is unloaded from the processing chamber after the via hole forming step, the resist mask removing step, the protective film forming step and the trench forming step are completed in the processing chamber.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: August 4, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Hiroshi Tsujimoto
  • Patent number: 7524756
    Abstract: A contact structure and a method of forming thereof for semiconductor devices or assemblies are described. The method provides process steps to create a contact structure encompassed by a sacrificial contact medium having an opening therein that is lined with a conductive spacer liner that effectively prevents the contact structure from being damaged during removal of the surrounding sacrificial contact medium material. The sacrificial contact medium is then replaced with a non-boron doped dielectric material.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: April 28, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Grant S. Huglin, Robert J. Burke, Sanh D. Tang
  • Patent number: 7504287
    Abstract: A method is provided for fabricating a semiconductor device which includes a first contact point and a second contact point located above the first contact point. A first material layer is conformally deposited over the contact points, and a second material layer is deposited. A photoresist layer is applied and patterned to leave remaining portions. The remaining portions are trimmed to produce trimmed remaining portions which overlie eventual contact holes to the contact points. Using the trimmed remaining portions as an etch mask, exposed portions the second material layer are etched away to leave sacrificial plugs. The sacrificial plugs are etched away to form contact holes that reach portions the first material layers. Another etching step is performed to extend the contact holes to produce final contact holes that extend to the contact points.
    Type: Grant
    Filed: March 22, 2007
    Date of Patent: March 17, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Sven Beyer, Kamatchi Subramanian
  • Publication number: 20090065938
    Abstract: The object of the present invention is to provide a semiconductor element containing an n-type gallium nitride based compound semiconductor and a novel electrode that makes an ohmic contact with the semiconductor. The semiconductor element of the present invention has an n-type Gallium nitride based compound semiconductor and an electrode that forms an ohmic contact with the semiconductor, wherein the electrode has a TiW alloy layer to be in contact with the semiconductor. According to a preferable embodiment, the above-mentioned electrode can also serve as a contact electrode. According to a preferable embodiment, the above-mentioned electrode is superior in the heat resistance. Moreover, a production method of the semiconductor element is also provided.
    Type: Application
    Filed: April 4, 2006
    Publication date: March 12, 2009
    Inventors: Tsuyoshi Takano, Takahide Joichi, Hiroaki Okagawa
  • Patent number: 7491642
    Abstract: Electrical structures and devices may be formed and include an organic passivating layer that is chemically bonded to a silicon-containing semiconductor material to improve the electrical properties of electrical devices. In different embodiments, the organic passivating layer may remain within finished devices to reduce dangling bonds, improve carrier lifetimes, decrease surface recombination velocities, increase electronic efficiencies, or the like. In other embodiments, the organic passivating layer may be used as a protective sacrificial layer and reduce contact resistance or reduce resistance of doped regions. The organic passivation layer may be formed without the need for high-temperature processing.
    Type: Grant
    Filed: July 12, 2001
    Date of Patent: February 17, 2009
    Assignee: The California Institute of Technology
    Inventors: Nathan S. Lewis, William Royea
  • Patent number: 7491641
    Abstract: This invention includes methods of forming conductive lines, and methods of forming conductive contacts adjacent conductive lines. In one implementation, a method of forming a conductive line includes forming a conductive line within an elongated trench within first insulative material over a semiconductive substrate. The conductive line is laterally spaced from opposing first insulative material sidewall surfaces of the trench. The conductive line includes a second conductive material received over a different first conductive material. The second conductive material is recessed relative to an elevationally outer surface of the first insulative material proximate the trench. A second insulative material different from the first insulative material is formed within the trench over a top surface of the conductive line and within laterally opposing spaces received between the first insulative material and the conductive line.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: February 17, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Scott A. Southwick, Alex J. Schrinsky, Terrence B. McDaniel
  • Publication number: 20090032954
    Abstract: A semiconductor device includes a first insulation film having a plurality of openings which exposes predetermined regions of a semiconductor substrate, a plurality of first conductive patterns partially filling the openings and a plurality of second conductive patterns disposed on the first conductive patterns within the openings and separated from inner walls of the openings.
    Type: Application
    Filed: June 27, 2008
    Publication date: February 5, 2009
    Inventor: SANG-HO KIM
  • Publication number: 20090032958
    Abstract: Intermetallic conductive materials are used to form interconnects in an integrated circuit. In some cases, the intermetallic conductive material may be an intermetallic alloy of aluminum.
    Type: Application
    Filed: August 3, 2007
    Publication date: February 5, 2009
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Paul A. Farrar
  • Patent number: 7482268
    Abstract: The present invention adds one or more thick layers of polymer dielectric and one or more layers of thick, wide metal lines on top of a finished semiconductor wafer, post-passivation. The thick, wide metal lines may be used for long signal paths and can also be used for power buses or power planes, clock distribution networks, critical signal, and re-distribution of I/O pads.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: January 27, 2009
    Assignee: Megica Corporation
    Inventors: Mou-Shiung Lin, Chiu-Ming Chou, Chien-Kang Chou
  • Patent number: 7476618
    Abstract: A method for enhancing the reliability of copper interconnects and/or contacts, such as the bottom of vias exposing top surfaces of buried copper, or at the top of copper lines just after CMP. The method comprises contacting the exposed copper surface with a vapor phase compound of a noble metal and selectively forming a layer of the noble metal on the exposed copper surface, either by a copper replacement reaction or selective deposition (e.g., ALD or CVD) of the noble metal.
    Type: Grant
    Filed: October 18, 2005
    Date of Patent: January 13, 2009
    Assignee: ASM Japan K.K.
    Inventors: Olli V. Kilpelä, Wonyong Koh, Hannu A. Huotari, Marko Tuominen, Miika Leinikka
  • Patent number: 7473641
    Abstract: A method for manufacturing a semiconductor device is provided. First, a first metal conductive line is formed, and then a semiconductor device is formed on the first metal conductive line. A dielectric layer is formed on the semiconductor device. A contact window is formed at a position in the dielectric layer corresponding to the first metal conductive line. Then, a metal plug is formed in the contact window. The metal plug is used as a mask for etching the semiconductor device, such that the etched semiconductor device takes the form of a shape corresponding to the metal plug. Through the manufacturing method, the semiconductor device is formed according to the shape of the metal plug and is completely aligned with the metal plug.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: January 6, 2009
    Assignee: Industrial Technology Research Institute
    Inventors: Ching-Yuan Ho, Yung-Hsiang Chen
  • Patent number: 7468318
    Abstract: A method for manufacturing a mold type semiconductor device is provided. The device includes a semiconductor chip having a semiconductor part and a metallic member connecting to the chip via a conductive layer and a connecting member. The method includes: forming the semiconductor part on a semiconductor substrate so that a cell portion is provided; forming the conductive layer on the substrate; forming a first resist layer to cover a part of the conductive layer corresponding to the cell portion; etching the conductive layer with the first resist layer as a mask so that a first conductive layer is provided; removing the first resist layer and forming a second conductive layer to cover a surface and an edge of the first conductive layer. The second conductive layer has a Young's modulus equal to or larger than the semiconductor substrate.
    Type: Grant
    Filed: February 6, 2007
    Date of Patent: December 23, 2008
    Assignee: DENSO CORPORATION
    Inventors: Naohiko Hirano, Nobuyuki Kato, Takanori Teshima, Yoshitsugu Sakamoto, Shoji Miura, Akihiro Niimi
  • Patent number: 7452744
    Abstract: A first gate electrode and a second gate electrode are formed on a semiconductor substrate, and then a resist pattern is formed so as to selectively leave open a portion including an overlap between the first and second gate electrodes. Next, the overlap between the gate electrodes is removed through isotropic etching. Etching is carried out at this time by an amount within a range of 140% to 200% of the film thickness of the second gate electrode. Next, a normal inter-layer insulating film and light-shielding film are formed. It is possible to eliminate the overlap between the gate electrodes adjacent to an opening of the light-shielding film, suppress the height of the light-shielding film at that portion, reduce shading for the light condensed by a lens and thereby improve the light condensing efficiency of the lens.
    Type: Grant
    Filed: December 14, 2005
    Date of Patent: November 18, 2008
    Assignee: Panasonic Corporation
    Inventors: Ken Henmi, Toshihiro Kuriyama
  • Publication number: 20080251928
    Abstract: An integrated circuit structure includes a semiconductor substrate; a dielectric layer over the semiconductor substrate; a conductive wiring in the dielectric layer; and a metal carbide cap layer over the conductive wiring.
    Type: Application
    Filed: April 11, 2007
    Publication date: October 16, 2008
    Inventors: Hui-Lin Chang, Ting-Yu Shen, Yung-Cheng Lu
  • Patent number: 7432184
    Abstract: A method for making a film stack containing one or more metal-containing layers and a substrate processing system for forming the film stack on a substrate are provided. The substrate processing system includes at least one transfer chamber coupled to at least one load lock chamber, at least one first physical vapor deposition (PVD) chamber configured to deposit a first material layer on a substrate, and at least one second PVD chamber for in-situ deposition of a second material layer over the first material layer within the same substrate processing system without breaking the vacuum or taking the substrate out of the substrate processing system to prevent surface contamination, oxidation, etc. The substrate processing system is configured to provide high throughput and compact footprint for in-situ sputtering of different material layers in designated PVD chambers.
    Type: Grant
    Filed: August 26, 2005
    Date of Patent: October 7, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Akihiro Hosokawa, Makoto Inagawa, Hienminh Huu Le, John M. White
  • Publication number: 20080166867
    Abstract: A method of manufacturing a metal compound thin film is disclosed. The method may include forming a first metal compound layer on a substrate by atomic layer deposition, performing annealing on the first metal compound layer in an atmosphere containing a nitrogen compound gas, thereby diffusing nitrogen into the first metal compound layer, and forming a second metal compound layer on the first metal compound layer by atomic layer deposition.
    Type: Application
    Filed: December 11, 2007
    Publication date: July 10, 2008
    Applicants: ROHM CO., LTD., Horiba, Ltd., Renesas Technology Corp., National Institute of Advanced Industrial Science and Technology
    Inventors: Kunihiko Iwamoto, Toshihide Nabatame, Koji Tominaga, Tetsuji Yasuda
  • Publication number: 20080160753
    Abstract: A method of forming a metal wire in a semiconductor device is disclosed The method includes the steps of etching an insulating layer formed on a semiconductor substrate to form a dual damascene pattern, forming a barrier metal layer in the dual damascene pattern, forming a metal layer on the barrier metal layer, and filling the dual damascene pattern with a conductive material to form a metal wire.
    Type: Application
    Filed: May 10, 2007
    Publication date: July 3, 2008
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Eun Soo Kim, Jung Geun Kim, Suk Joong Kim
  • Publication number: 20080153285
    Abstract: A process for fabricating an electronic device comprising the step of patterning a metallic electrode to the electronic device by laser ablation followed by electroless plating, wherein the process of fabricating the electronic device comprises at least one other laser patterning step over the area of the metallic electrode performed after said step of patterning the metallic electrode.
    Type: Application
    Filed: April 4, 2006
    Publication date: June 26, 2008
    Applicant: PLASTIC LOGIC LIMITED
    Inventor: Paul A. Cain
  • Publication number: 20080142982
    Abstract: Some embodiments include semiconductor processing methods in which a copper barrier is formed to be laterally offset from a copper component, and in which nickel is formed to extend across both the barrier and the component. The barrier may extend around an entire lateral periphery of the component, and may be spaced from the component by an intervening ring of electrically insulative material. The copper component may be a bond pad or an interconnect between two levels of metal layers. Some embodiments include semiconductor constructions in which nickel extends across a copper component, a copper barrier is laterally offset from the copper component, and an insulative material is between the copper barrier and the copper component.
    Type: Application
    Filed: December 15, 2006
    Publication date: June 19, 2008
    Inventors: Tianhong Zhang, Akram Ditali
  • Patent number: 7381642
    Abstract: The present invention adds one or more thick layers of polymer dielectric and one or more layers of thick, wide metal lines on top of a finished semiconductor wafer, post-passivation. The thick, wide metal lines may be used for long signal paths and can also be used for power buses or power planes, clock distribution networks, critical signal, and re-distribution of I/O pads.
    Type: Grant
    Filed: December 20, 2004
    Date of Patent: June 3, 2008
    Assignee: Megica Corporation
    Inventors: Mou-Shiung Lin, Chiu-Ming Chou, Chien-Kang Chou
  • Patent number: 7361586
    Abstract: Methods are described for eliminating void formation during the fabrication of and/or operation of memory cells/devices. According to one aspect of the present disclosure, the methods to eliminate voids include formation of an opening on a semiconductor structure, formation of a diffusion barrier layer, deposition of a metal into the opening, preamorphization of the metal using preamorphization implants, and formation of a conductivity facilitating layer. According to another aspect of the present disclosure, the methods to eliminate voids include formation of an opening on a semiconductor structure, formation of a diffusion barrier layer, deposition of a metal into the opening, preamorphization of the metal using a contact with a plasma, and formation of a conductivity facilitating layer.
    Type: Grant
    Filed: July 1, 2005
    Date of Patent: April 22, 2008
    Assignee: Spansion LLC
    Inventors: Ercan Adem, Nicholas H. Tripsas
  • Patent number: 7361590
    Abstract: A method of manufacturing a semiconductor device includes: preparing a semiconductor element having a first metal layer made of first metal on a surface thereof, and a metal substrate made of second metal, the metal substrate having a fourth metal layer made of fourth metal on a surface thereof, and mounting the semiconductor element on the surface thereof; providing metal nanopaste between the first metal layer and the fourth metal layer, the metal nanopaste being formed by dispersing fine particles made of third metal with a mean diameter of 100 nm or less into an organic solvent; and heating, or heating and pressurizing the semiconductor element and the metal substrate between which the metal nanopaste is provided, thereby removing the solvent. Further, each of the first, third and fourth metals is made of any metal of gold, silver, platinum, copper, nickel, chromium, iron, lead, and cobalt, an alloy containing at least one of the metals, or a mixture of the metals or the alloys.
    Type: Grant
    Filed: January 19, 2006
    Date of Patent: April 22, 2008
    Assignees: Nissan Motor Co., Ltd.
    Inventors: Kojiro Kobayashi, Akio Hirose, Masanori Yamagiwa
  • Publication number: 20080073791
    Abstract: A semiconductor device includes a low-k layer formed over a semiconductor device; a first TEOS film formed over the low-k layer; a SiCN layer formed over the first TEOS film; an undoped silicate glass film formed over the SiCN layer; a nitride film formed over the USG film; a second TEOS film formed over the nitride film; a first metal interconnect extending from the low-k layer to the undoped silicate glass film; and a second metal interconnect extending from the nitride film to the second TEOS film, wherein the first metal interconnect and the second metal interconnect are electrically connected and a wire is bonded to the second metal interconnect.
    Type: Application
    Filed: September 11, 2007
    Publication date: March 27, 2008
    Inventor: Cheon-Man Shim
  • Patent number: 7341942
    Abstract: A method for forming a metal line of a semiconductor device forms an aluminum line having an excellent orientation. A specific resistance of a metal line is reduced, thereby enabling sufficient supply of a desired electric current. The method includes steps of forming a lower reflection preventing layer on a silicon wafer, forming a first aluminum layer on the lower reflection preventing layer, forming a second aluminum layer on the first aluminum layer, lowering a surface roughness of the second aluminum layer, forming an upper reflection preventing layer on the second aluminum layer, and forming an aluminum line.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: March 11, 2008
    Assignee: Dongbu Electronics Co., Ltd
    Inventor: Jae Suk Lee
  • Patent number: 7335584
    Abstract: A method is provided for using SACVD deposition to deposit at least one layer of dielectric material inside a deposition reactor during the fabrication of at least one semiconductor integrated circuit. According to the method, a reaction chamber is provided for carrying out SACVD deposition, and a stream of a first reaction gas containing oxygen plasma is supplied into a gas feed conduit connected to the reaction chamber. Microwaves are applied inside the gas feed conduit in order to produce sufficient oxygen radicals from the oxygen plasma, the oxygen radicals being necessary to initiate SACVD deposition. A stream of a second reaction gas is supplied into the reaction chamber, with the second reaction gas being suitable to initiate SACVD deposition when reacting with oxygen radicals.
    Type: Grant
    Filed: October 24, 2003
    Date of Patent: February 26, 2008
    Assignee: STMicroelectronics S.r.l.
    Inventor: Michele Vulpio
  • Publication number: 20080042132
    Abstract: A display panel includes a substrate having a display area and a blank area. The blank area includes at least one of a non-metal line region and a metal-line region. The non-metal line region includes a plurality of insulating patterns and a first conductive pattern layer formed on the substrate. The insulating patterns are isolated from each other by the first conductive pattern layer. The metal-line region includes an insulating multilayer formed on the substrate and a conductive pattern layer formed on the insulating multilayer. Several isolated zones are formed by the conductive pattern layer on the surface of the insulating multilayer.
    Type: Application
    Filed: March 27, 2007
    Publication date: February 21, 2008
    Inventors: Chih-Hung Shih, Chih-Chun Yang, Ming-Yuan Huang
  • Patent number: 7300872
    Abstract: A method for manufacturing a semiconductor device using a dual-damascene pattern, where a photosensitive film is coated instead of a dielectric material, the photosensitive film is cured, and the photosensitive film is entirely etched. The method includes forming a first conductor on a first insulation film deposited on a semiconductor substrate, and depositing second, third, and fourth insulation films on the first insulation. The method also includes forming holes by selectively removing the fourth and third films, forming a fifth insulation film where the holes are filled with the fifth film, and forming a sixth insulation film on the fifth and fourth films. The method further includes forming a trench mask pattern on the sixth film, forming trench line holes and trench via holes using the pattern and forming a barrier metal film and a second conductor, where the line and via holes are filled with the second conductor.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: November 27, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jeong Ho Park
  • Patent number: 7276451
    Abstract: Disclosed herein is a method for manufacturing a semiconductor device. According to the present invention, a bit line contact region and a storage node contact region are simultaneously formed, and then a storage node contact hole is formed after a form of bit line to reduce a height of a finally formed storage node contact plug, thereby increasing a storage node open area and reducing a short circuit between the bit lines.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: October 2, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Ok Hong
  • Patent number: 7259025
    Abstract: A method of forming a ferromagnetic liner on conductive lines of magnetic memory devices and a structure thereof. The ferromagnetic liner increases the flux concentration of current run through the conductive lines, reducing the amount of write current needed to switch magnetic memory cells. The conductive lines are formed in a plate-up method, and the ferromagnetic liner is selectively formed on the plated conductive lines. The ferromagnetic liner may also be formed over conductive lines and a top portion of vias in a peripheral region of the workpiece.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: August 21, 2007
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Rainer Leuschner, Michael C. Gaidis, Judith M. Rubino, Lubomyr Taras Romankiw
  • Patent number: 7253092
    Abstract: Disclosed herein is a method of making integrated circuits. In one embodiment the method includes forming tungsten plugs in the integrated circuit and forming electrically conductive interconnect lines in the integrated circuit after formation of the tungsten plugs. At least one tungsten plug is electrically connected to at least one electrically conductive interconnect line. Thereafter at least one electrically conductive interconnect line is contacted with water for a period of time less than 120 minutes.
    Type: Grant
    Filed: June 24, 2003
    Date of Patent: August 7, 2007
    Assignee: NEC Electronics America, Inc.
    Inventors: Elizabeth A. Dauch, John W. Jacobs
  • Patent number: 7232757
    Abstract: Cu interconnections embedded in an interconnection slot of a silicon oxide film are formed by polishing using CMP to improve the insulation breakdown resistance of a copper interconnection formed using the Damascene method, and after a post-CMP cleaning step, the surface of the silicon oxide film and Cu interconnections is treated by a reducing plasma (ammonia plasma). Subsequently, a continuous cap film (silicon nitride film) is formed without vacuum break.
    Type: Grant
    Filed: March 30, 2004
    Date of Patent: June 19, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Junji Noguchi, Naohumi Ohashi, Tatsuyuki Saito
  • Patent number: 7233069
    Abstract: An interconnection substrate includes: an interconnection layer region where at least a first conductor layer and a second conductor layer are vertically stacked in that order on a substrate, with the first conductor layer and second conductor layer containing conductive particles and a binder, wherein the first conductor layer and second conductor layer stacked in the interconnection layer region have conductive particles different in average particle size from each other. As a result, only an intended region can have low resistance.
    Type: Grant
    Filed: September 23, 2004
    Date of Patent: June 19, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Norihito Tsukahara, Kazuhiro Nishikawa, Daisuke Sakurai
  • Patent number: 7217655
    Abstract: A composite material comprising a layer containing copper, and an electrodeposited CoWP film on the copper layer. The CoWP film contains from 11 atom percent to 25 atom percent phosphorus and has a thickness from 5 nm to 200 nm. The invention is also directed to a method of making an interconnect structure comprising: providing a trench or via within a dielectric material, and a conducting metal containing copper within the trench or the via; and forming a CoWP film by electrodeposition on the copper layer. The CoWP film contains from 10 atom percent to 25 atom percent phosphorus and has a thickness from 5 nm to 200 nm. The invention is also directed to a interconnect structure comprising a dielectric layer in contact with a metal layer; an electrodeposited CoWP film on the metal layer, and a copper layer on the CoWP film.
    Type: Grant
    Filed: February 2, 2005
    Date of Patent: May 15, 2007
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., Stefanie R. Chiras, Emanuel I. Cooper, Hariklia Deligianni, Andrew J. Kellock, Judith M. Rubino, Roger Y. Tsai
  • Patent number: 7217647
    Abstract: Disclosed is a method of fabricating a field effect transistor. In the method, a gate stack on a top surface of a semiconductor substrate is formed, and then a first spacer is formed on a sidewall of the gate stack. Next, a silicide self-aligned to the first spacer is deposited in/or on the semiconductor substrate. Subsequently a second spacer covering the surface of the first spacer, and a contact liner over at least the gate stack, the second spacer and the silicide, are formed. Then an interlayer dielectric over the contact liner is deposited. Next, a metal contact opening is formed to expose the contact liner over the silicide. Finally, the opening is extended through the contact liner to expose the silicide without exposing the substrate.
    Type: Grant
    Filed: November 4, 2004
    Date of Patent: May 15, 2007
    Assignee: International Business Machines Corporation
    Inventor: Haining S. Yang
  • Patent number: 7214621
    Abstract: The invention includes methods of forming devices associated with semiconductor constructions. In exemplary methods, common processing steps are utilized to form fully silicided recessed array access gates and partially silicided periphery transistor gates.
    Type: Grant
    Filed: May 18, 2005
    Date of Patent: May 8, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Hasan Nejad, Gordon A. Haller, Thomas Arthur Figura, Ravi Iyer
  • Patent number: 7214602
    Abstract: A method of forming a conductive structure is disclosed. The method includes forming an interconnect in a substrate, and forming a layer of iridium on the interconnect. The layer of iridium has a thickness of less than six hundred angstroms. The method further includes annealing the layer of iridium, forming a dielectric layer on the layer of iridium, and forming a conductive layer on the dielectric layer.
    Type: Grant
    Filed: May 18, 2004
    Date of Patent: May 8, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Eugene P. Marsh
  • Patent number: 7205588
    Abstract: A method of forming a metal fuse comprising the following steps. A structure is provided having exposed adjacent metal structures. A patterned dielectric layer is formed over the structure. The patterned dielectric layer having via openings 2 exposing at least a portion of the exposed adjacent metal structures. A metal fuse portion is formed between at least two of the adjacent metal structures without additional photolithography, etch or deposition processes. The metal fuse portion including a portion having a nominal mass and a sub-portion of the portion having a mass less than the nominal mass so that the metal fuse portion is more easily disconnected at the less massive sub-portion during programming of the metal fuse portion.
    Type: Grant
    Filed: May 28, 2004
    Date of Patent: April 17, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shin-Puu Jeng, Chi-Hsi Wu, Shang Y. Hou
  • Patent number: 7199043
    Abstract: Disclosed in a method of forming a copper wiring in a semiconductor device. A copper layer buries a damascene pattern in which an interlayer insulating film of a low dielectric constant. The copper layer is polished by means of a chemical mechanical polishing process to form a copper wiring within a damascene pattern. At this time, the chemical mechanical polishing process is overly performed so that the top surface of the copper wiring is concaved and is lower than the surface of the interlayer insulating film of the low dielectric constant neighboring it. Furthermore, an annealing process is performed so that the top surface of the copper wiring is changed from the concaved shape to a convex shape while stabilizing the copper wiring. A copper anti-diffusion insulating film is then formed on the entire structure including the top surface of the copper wiring having the convex shape.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: April 3, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Kyun Park