Implantation Of Ion Into Conductor Patents (Class 438/659)
  • Patent number: 6033983
    Abstract: A method for forming a barrier metal layer of semiconductor device is disclosed. According to the present invention, pre-cleaning, oxygen plasma treatment and formation of barrier metal layer are performed by in-situ type in one same conventional chamber. This method results in the reduction of cost and process time.
    Type: Grant
    Filed: May 20, 1998
    Date of Patent: March 7, 2000
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Kyeong Bock Lee, Sung Gon Jin
  • Patent number: 6030894
    Abstract: On a main surface of a silicon substrate of one conductivity type, a diffusion layer of the opposite conductivity type is formed, and the main surface of the silicon substrate is covered by an insulator film. The insulator film is formed with a contact hole which extends to reach the diffusion layer of the opposite conductivity type. A contact plug is provided in the contact hole. The contact plug fills the contact hole and comprises a first silicon layer of the opposite conductivity type directly connected to the diffusion layer of the opposite conductivity type, a silicon-germanium alloy layer of the opposite conductivity type directly contact to the first silicon layer, and a second silicon layer of the opposite conductivity type directly contact to the silicon-germanium alloy layer. Wiring is provided on the surface of the insulator film in direct contact to the contact plug.
    Type: Grant
    Filed: July 20, 1998
    Date of Patent: February 29, 2000
    Assignee: NEC Corporation
    Inventors: Hiromitsu Hada, Toru Tatsumi, Naoki Kasai, Hidemitsu Mori
  • Patent number: 6027990
    Abstract: A method for lowering the anneal temperature required to form a multi-component material, such as refractory metal silicide. A shallow layer of titanium is implanted in the bottom of the contact area after the contact area is defined. Titanium is then deposited over the contact area and annealed, forming titanium silicide. A second embodiment comprises depositing titanium over a defined contact area. Silicon is then implanted in the deposited titanium layer and annealed, forming titanium silicide. A third embodiment comprises combining the methods of the first and second embodiments. In further embodiment, nitrogen, cobalt, cesium, hydrogen, fluorine, and deuterium are also implanted at selected times.
    Type: Grant
    Filed: August 10, 1998
    Date of Patent: February 22, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Randhir P. S. Thakur, Michael Nuttall
  • Patent number: 6013577
    Abstract: To prevent channelling of gate impurities into channel region or gate insulation film without restricting sidewall material of the gate electrodes, a fabrication method of the invention of semiconductor devices comprises a first ion injection step for making amorphous a surface region (11) of a poly-silicon layer (7) for a gate electrode configured on a semiconductor substrate (1) by injecting ions selectively into the surface region, and a second ion injection step, performed after the first ion injection step without inserting any process needing a high temperature of the semiconductor substrate, for injecting impurities into the gate electrode.
    Type: Grant
    Filed: January 28, 1998
    Date of Patent: January 11, 2000
    Assignees: NEC Corporation, Tetsuya Muraoka
    Inventor: Naohiko Kimizuka
  • Patent number: 6013578
    Abstract: A metal wiring structure includes a conduction line, an insulator film for electrically insulating the conduction line, and a transmutation layer formed as the density of a portion of the insulator film adjacent to the conduction line is increased or by adding impurities to the insulator film. A metal wiring forming method for a semiconductor device, includes the step of forming a trench in a given portion of a silicon oxidation film formed on a semiconductor substrate, forming a transmutation layer on a surface of the silicon oxidation film, and depositing a conductive material on the transmutation layer to form a conduction line, whereby diffusion of the conductive material is prevented.
    Type: Grant
    Filed: February 28, 1997
    Date of Patent: January 11, 2000
    Assignee: LG Semicon Co., Ltd.
    Inventor: Young Kwon Jun
  • Patent number: 5998294
    Abstract: A method is provided for improving silicide formation, and the electrical ntact provided thereby, on non-planar silicon structures. In this method, a semiconductor device structure is initially formed having non-planar surface regions. A metal layer is deposited on the non-planar surfaces. The metal deposition process step is followed by an off-axis implantation of non-dopant ions, causing a mixing of the metal and silicon atoms at the metal and non-planar silicon structure interface. The off-axes implantation also serves to disrupt the native silicon dioxide layer between the silicon and metal layers regions. Thermal processing is then used to form silicide on the non-planar surfaces of the semiconductor silicon structure.
    Type: Grant
    Filed: April 29, 1998
    Date of Patent: December 7, 1999
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Stanley R. Clayton, Stephen D. Russell, Oswald I. Csanadi, Shannon D. Kasa, Charles A. Young
  • Patent number: 5985770
    Abstract: The invention comprises methods of depositing silicon oxide material onto a substrate. In but one aspect of the invention, a method of depositing a silicon oxide containing layer on a substrate includes initially forming a layer comprising liquid silicon oxide precursor onto a substrate. After forming the layer, the layer is doped and transformed into a solid doped silicon oxide containing layer on the substrate. In a preferred implementation, the doping is by gas phase doping and the liquid precursor comprises Si(OH).sub.4. In the preferred implementation, the transformation occurs by raising the temperature of the deposited liquid precursor to a first elevated temperature and polymerizing the deposited liquid precursor on the substrate. The temperature is continued to be raised to a second elevated temperature higher than the first elevated temperature and a solid doped silicon oxide containing layer is formed on the substrate.
    Type: Grant
    Filed: August 21, 1997
    Date of Patent: November 16, 1999
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Ravi Iyer
  • Patent number: 5985720
    Abstract: A flash memory has diffused layers extending in a column direction to form channel regions between each two of the diffused layers, field oxide films extending in a row direction to divide the channel regions into separate channels arranged in a matrix, a floating gate disposed for each channel as a split gate, and a strip control gates extending in the row direction and overlying each row of the split floating gate. Each of the floating gates has a lower layer having a lower impurity concentration and an upper layer having a higher impurity concentration. The lower impurity concentration of the lower layer prevents fluctuations in device characteristics while the higher concentration of the upper layer enhances etch rates in two etching process for forming the floating gates of a matrix.
    Type: Grant
    Filed: September 19, 1997
    Date of Patent: November 16, 1999
    Assignee: NEC Corporation
    Inventor: Kenji Saitoh
  • Patent number: 5963839
    Abstract: Making low resistance contact between two silicon layers has been accomplished by implanting nitrogen ions into a freshly formed silicon surface thereby forming a nitrogen rich layer at the surface which suppresses formation of a surface layer of oxide, the normal 20-30 Angstrom thick native oxide being now restricted to 3 or 4 Angstroms. When a layer of polysilicon is deposited onto this nitrided surface good, low resistance electrical contact is made. The process is fully compatible with existing methods for the manufacture of integrated circuits. An example of its application to making low resistance contact to a FET gate electrode is given.
    Type: Grant
    Filed: December 8, 1997
    Date of Patent: October 5, 1999
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Jenn-Ming Huang
  • Patent number: 5960319
    Abstract: A semiconductor device and a fabrication method thereof are disclosed. A silicon nitride film is formed over a silicon semiconductor substrate. Impurity ions are then implanted into desired areas of the silicon semiconductor substrate, so that nitrogen atoms and silicon atoms from the silicon nitride film are incorporated into the surface of the silicon semiconductor substrate together with introduction of impurity ions. The silicon semiconductor substrate has a minimized content of oxygen mixed thereinto and restored crystal defects filled by nitrogen atoms upon implanting of impurity ions. The fabricated semiconductor device is free from a trade-off relation between gate-electrode depletion and junction current leakage, and short-channel effects.
    Type: Grant
    Filed: August 19, 1996
    Date of Patent: September 28, 1999
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Hiroshi Iwata, Masayuki Nakano, Shigeki Hayashida, Seizou Kakimoto, Toshimasa Matsuoka
  • Patent number: 5946595
    Abstract: Disclosed is a method for forming a local interconnect with a self-aligned titanium silicide process on a semiconductor substrate. The initial step of the method is to form a thin titanium layer over the electronic devices to be provided with electrical communication. A polysilicon layer is then formed over the thin titanium layer, and in a further step, an implant mask is formed over portions of the polysilicon layer so as to pattern an area where the local interconnect is desired to be formed. Ions are then implanted into the polysilicon layer exposed by the implant mask, and the implant mask is then removed. In a further step, an etch process that etches either implanted or unimplanted polysilicon and is selective to the other is conducted. The remaining implanted polysilicon and titanium layers are then annealed to form titanium silicide, and the titanium that is not converted to titanium silicide is removed.
    Type: Grant
    Filed: March 14, 1997
    Date of Patent: August 31, 1999
    Assignee: Micron Technology, Inc.
    Inventors: Trung T. Doan, Zhiqiang Wu, Li Li
  • Patent number: 5937305
    Abstract: A polysilicon load structure and its manufacturing method for static random access memory, comprising the steps of first providing a semiconductor substrate, and then forming a first insulating layer over the substrate. Next, a trench is etched out from the insulating layer forming a step structure. Thereafter, a polysilicon layer is formed over the first insulating layer, and then a global ion implantation operation is performed. Next, a photoresist layer is formed over the polysilicon layer, and then a connector pattern is defined using a microlithographic process. Thereafter, the polysilicon layer is anisotropically etched to form a spacer on the sidewall of the trench. Subsequently, a second ion implantation is performed to adjust the resistance of the connector. Finally, microlithographic and etching processes are used to remove the unwanted portions of the polysilicon spacer and exposing the polysilicon spacer structure and the polysilicon connector structure.
    Type: Grant
    Filed: October 28, 1997
    Date of Patent: August 10, 1999
    Assignee: Winbond Electronics Corp.
    Inventor: Ming-Lun Chang
  • Patent number: 5930675
    Abstract: A natural oxide on an amorphous silicon exposed to a miniature contact hole is thermally decomposed in vacuum and an amorphous silicon is grown on the amorphous silicon without exposing to the atmosphere; the amorphous silicon is applied with heat so as to be epitaxially grown on a single crystal silicon beneath the amorphous silicon, thereby forming a conductive plug in the miniature contact hole.
    Type: Grant
    Filed: April 16, 1996
    Date of Patent: July 27, 1999
    Assignee: NEC Corporation
    Inventor: Hiromitsu Hada
  • Patent number: 5924001
    Abstract: A method for fabricating polycide gate electrodes wherein voids at the silicide/polysilicon interface are eliminated by ion implantation is described. A layer of gate silicon oxide is grown over the surface of a semiconductor substrate. A polysilicon layer is deposited overlying the gate silicon oxide layer. A silicide layer is formed overlying the polysilicon layer. Silicon ions are implanted into the silicide layer. A hard mask layer is deposited over the silicide layer. Because of the presence of the silicon ions in the silicide layer, silicon atoms from the polysilicon layer do not diffuse into the silicide layer causing voids to form in the polysilicon layer. Therefore, the formation of silicon pits in the semiconductor substrate is prevented. The silicide, polysilicon and gate silicon oxide layers are patterned to complete fabrication of a gate electrode in the manufacture of an integrated circuit device.
    Type: Grant
    Filed: January 8, 1998
    Date of Patent: July 13, 1999
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chie-Ming Yang, Jih-Wha Wang, Chien-Jiun Wang, Bou Fun Chen, Liang Szuma
  • Patent number: 5904536
    Abstract: A polysilicon emitter of a bipolar device is formed utilizing a self-aligned Damascene technique. An oxide mask is patterned over epitaxial silicon implanted to form the intrinsic base. The oxide mask is then etched to form a window. Polysilicon is uniformly deposited over the oxide mask and into the window. The polysilicon is then polished to remove polysilicon outside of the window. Etching of the oxide mask follows, with good selectivity of oxide over silicon. This selectivity produces a polysilicon emitter atop an intrinsic base, the base flush with the silicon surface rather than recessed because of overetching associated with conventional processes.
    Type: Grant
    Filed: May 1, 1998
    Date of Patent: May 18, 1999
    Assignee: National Semiconductor Corporation
    Inventor: Christopher S. Blair
  • Patent number: 5899740
    Abstract: Interconnects for integrated circuit substrates are formed by forming a diffusion-barrier film on an integrated circuit substrate and amorphizing the diffusion-barrier film to create an amorphous diffusion-barrier film. A copper film is then formed on the amorphous diffusion-barrier film. Amorphizing may be performed by implanting ions into the diffusion-barrier film. The diffusion-barrier film can include Mo, W, Ti, Wn, TiW, TiN and the ions may be boron, nitrogen and silicon ions. Interconnect structures according to the invention include an amorphous conductive diffusion-barrier film on an integrated circuit substrate and a copper film on the amorphous conductive diffusion-barrier film. The amorphous conductive diffusion-barrier film preferably contains ions therein. The amorphous conductive diffusion-barrier film and the ions may be selected from materials as described above.
    Type: Grant
    Filed: September 4, 1997
    Date of Patent: May 4, 1999
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Chul-soon Kwon
  • Patent number: 5891798
    Abstract: A method for forming an insulator with a high dielectric constant on silicon is disclosed. This method overcomes one limitation of increasing the dielectric constant of a gate dielectric by using a high dielectric constant material, such as a paraelectric material, instead of silicon dioxide. First, nitrogen is implanted into the silicon through a sacrificial oxide layer. After annealing the substrate and stripping the sacrificial oxide, a dielectric layer is formed from a material with a high dielectric constant, such as a paraelectric material. Although the paraelectric material provides a source of oxygen for oxidation of silicon in subsequent high temperature process steps, no oxidation takes place due to the presence of the nitrogen in the silicon. Therefore, there is no undesired decrease in the overall capacitance of the dielectric system.
    Type: Grant
    Filed: December 20, 1996
    Date of Patent: April 6, 1999
    Assignee: Intel Corporation
    Inventors: Brian Doyle, Jack Lee
  • Patent number: 5885896
    Abstract: A method for lowering the anneal temperature required to form a multi-component material, such as refractory metal silicide. A shallow layer of titanium is implanted in the bottom of the contact area after the contact area is defined. Titanium is then deposited over the contact area and annealed, forming titanium silicide. A second embodiment comprises depositing titanium over a defined contact area. Silicon is then implanted in the deposited titanium layer and annealed, forming titanium silicide. A third embodiment comprises combining the methods of the first and second embodiments. In further embodiment, nitrogen, cobalt, cesium, hydrogen, fluorine, and denterium are also implanted at selected times.
    Type: Grant
    Filed: July 8, 1996
    Date of Patent: March 23, 1999
    Assignee: Micron Technology, Inc.
    Inventors: Randhir P. S. Thakur, Michael Nuttall
  • Patent number: 5882965
    Abstract: In the production of a dual work function CMOS circuit, a polysilicon layer is produced for the purpose of forming a gate structure, the average grain diameter of which polysilicon layer is greater than the minimum extent in the gate structure, in order to suppress lateral dopant diffusion. In particular, a constriction having a width less than the average grain diameter is produced in the gate structure.
    Type: Grant
    Filed: January 9, 1998
    Date of Patent: March 16, 1999
    Assignee: Siemens Aktiengesellschaft
    Inventors: Udo Schwalke, Martin Kerber
  • Patent number: 5879975
    Abstract: The etch profile of side surfaces of a gate electrode is improved by heat treating the gate electrode layer after nitrogen implantation and before etching to form the gate electrode. Nitrogen implantation at high dosages to prevent subsequent impurity penetration through the gate dielectric layer, e.g., B penetration, amorphizes the upper portion of the gate electrode layer resulting in concave side surfaces upon etching to form the gate electrode. Heat treatment performed after nitrogen implantation can restore sufficient crystallinity so that, after etching the gate electrode layer, the side surfaces of the resulting gate electrode are substantially parallel.
    Type: Grant
    Filed: September 5, 1997
    Date of Patent: March 9, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Olov Karlsson, Effiong Ibok, Dong-Hyuk Ju, Scott A. Bell, Daniel A. Steckert, Robert Ogle
  • Patent number: 5880023
    Abstract: A method for formation of a wiring layer in a semiconductor device, which includes the steps of: forming a first conductive layer upon a substrate; forming a second conductive layer on the first conductive layer, the second conductive layer having a melting point lower than that of the first conductive layer; and melting (or flowing) the second conductive layer. The first conductive layer is composed of aluminum or an aluminum alloy, and the impurity may be Si or Cu, while the second conductive layer has a melting point lower than that of the first conductive layer by 10.degree. C. or more.
    Type: Grant
    Filed: January 5, 1996
    Date of Patent: March 9, 1999
    Assignee: LG Semicon Co., Ldt.
    Inventor: Young-Kwon Jun
  • Patent number: 5877094
    Abstract: A method for fabricating a silicon-on-sapphire wafer for processing by silicon-wafer-processing equipment. A layer is deposited on a backside of a silicon-on-sapphire wafer, the layer having optical and electrical properties of silicon, wherein the silicon-on-sapphire wafer may be sensed by a sensor designed to sense a presence of a silicon wafer.
    Type: Grant
    Filed: April 7, 1994
    Date of Patent: March 2, 1999
    Assignee: International Business Machines Corporation
    Inventors: James L. Egley, George M. Gut, Daniel J. Koch, Michael A. Matusewic
  • Patent number: 5874351
    Abstract: A method of controlling stresses in thin films that are deposited over semiconductor device substrates. During anneal process steps, grain growth of the film creates stresses in that can damage or destroy it. The stresses lead to warping and bowing and ultimately to film cracking which undermines desired low resistivity. The present invention imparts thermal stability to thin films by grain boundary stuffing (GBS) of preselected elements that resist film grain changes that cause the stresses. GBS implants the elements into the thin film at desired depths, but above the film-substrate interface, sufficient to prevent or lessen destructive grain growth. GBS provides for structural film stability required during severe thermal cycles that occur during subsequent processing of semiconductor devices.
    Type: Grant
    Filed: June 13, 1996
    Date of Patent: February 23, 1999
    Assignee: Micron Tecnology, Inc.
    Inventors: Yong-Jun Hu, Pai Hung Pan
  • Patent number: 5869397
    Abstract: On a silicon substrate (1) is formed a MOS transistor which comprises a gate oxide film (3), a polysilicon gate electrode (4), an LDD diffusion layer (5) and a source/drain diffusion layer (7). A Ti film (8) is formed over the entire surface of the MOS transistor, the surface areas of the source/drain diffusion layer (7) and the polysilicon gate electrode (4) are silicified to form Ti silicide film (9, 10). Thereafter, W or Ta is ion-implanted as an alloy forming material into Ti silicide (10), and an anneal treatment is performed to react doped W or Ta with Ti silicide (10) and form TiW.sub.x Si.sub.y or TiTa.sub.x Si.sub.y (11).
    Type: Grant
    Filed: January 13, 1998
    Date of Patent: February 9, 1999
    Assignee: NEC Corporation
    Inventor: Kuniko Miyakawa
  • Patent number: 5851926
    Abstract: An etchant composition of nitrogen trifluoride and chlorine, preferably also including a passivation material such as hydrogen bromide, etches tungsten silicide-polysilicon gate layers with high selectivity to a thin underlying silicon oxide gate oxide layer to form straight wall, perpendicular profiles with low microloading and excellent profile control.
    Type: Grant
    Filed: October 1, 1996
    Date of Patent: December 22, 1998
    Assignee: Applied Materials, Inc
    Inventors: Ajay Kumar, Jeffrey Chinn, Shashank C. Deshmukh, Weinan Jiang, Rolf Adolf Guenther, Bruce Minaee, Mark Wiltse
  • Patent number: 5851846
    Abstract: In a dielectric isolation substrate, an end point of a polishing process for selective polishing for forming an SOI layer is detected with a high precision. When polishing a wafer with a polishing pad, the temperature of a region of the polishing pad having polished the wafer at a position immediately thereafter is detected by a temperature sensor and the selective polishing process is ended by discriminating that the rate of variation in the detected temperature has changed from a positive to a negative state and then to a fixed saturated state.
    Type: Grant
    Filed: December 21, 1995
    Date of Patent: December 22, 1998
    Assignee: Nippondenso Co., Ltd.
    Inventors: Masaki Matsui, Masatake Nagaya, Akinari Fukaya, Hiroaki Himi
  • Patent number: 5851922
    Abstract: The invention is directed to a process for forming p.sup.+ and n.sup.+ gates on a single substrate. A polycrystalline silicon or amorphous silicon layer is formed on a substrate with n-type and p-type regions formed therein and with a layer of silicon dioxide formed thereover and the structure is subjected to a low temperature anneal. A layer of metal silicide is then formed over the structure and n-type and p-type dopants are implanted into the resulting structure. A nitrogen implant is performed after the n-type dopant is implanted into the structure. The nitrogen implant reduces the amount to which the p-type dopant diffuses through the silicide layer and into the n.sup.+ gates. A dielectric material is then formed over the structure and patterned, after which the structure is subjected to additional processing steps to form gate stacks over the n-regions and the p-regions of the substrate.
    Type: Grant
    Filed: May 29, 1997
    Date of Patent: December 22, 1998
    Assignee: Lucent Technologies Inc.
    Inventors: Joze Bevk, Matthias Werner Fuertsch, George E. Georgiou, Steven James Hillenius
  • Patent number: 5849629
    Abstract: A method of forming low resistivity conductive lines on a semiconductor substrate is disclosed. In practicing the method a multichamber tool is used to advantage by forming a first doped polysilicon layer on the surface of a substrate, forming a second undoped layer on the doped layer, while maintaining the work piece under a vacuum environment, moving the substrate to a second chamber and thereafter forming a silicide containing layer on the undoped polysilicon layer. Various techniques may be used to deposit either the polysilicon or the silicide layer such as sputtering may also be used. Practice of the method eliminates separation of silicide from polysilicon and increases product yield.
    Type: Grant
    Filed: October 31, 1995
    Date of Patent: December 15, 1998
    Assignee: International Business Machines Corporation
    Inventors: Anthony Kendall Stamper, Gary Lionel Langdeau, Richard John Lebel
  • Patent number: 5843840
    Abstract: In a semiconductor device having a metal wiring conductor connected to a contact hole formed through an interlayer insulator layer formed on a lower level circuit, a lower level tungsten film is deposited under a condition giving an excellent step coverage so as to fill the contact hole, and an upper level tungsten film is further deposited under a condition of forming a film having a stress smaller than that of the lower level tungsten film. The metal wiring conductor is formed of a double layer which is composed of the lower level tungsten film and the upper level tungsten film, and therefore, has a reduced stress in the whole of the film. Thus, there is obtained the tungsten film wiring conductor which fills the inside of the contact hole with no void and therefore has a high reliability, and which has a low film stress. In addition, the number of steps in the manufacturing process can be reduced.
    Type: Grant
    Filed: March 4, 1996
    Date of Patent: December 1, 1998
    Assignee: NEC Corporation
    Inventors: Kazuki Miyazaki, Kazunobu Shigehara, Masanobu Zenke
  • Patent number: 5840618
    Abstract: A method of manufacturing a semiconductor device that does not interfere with electrical connection of a polysilicon interconnection layer to a source/drain region of a transistor. Patterning of the interconnection layer and the gate electrode occurs prior to removal of an underlying oxide film to prevent etching of the substrate. An interconnection layer of amorphous material is formed on the oxide film, and the patterned interconnection layer is subsequently electrically connected to the substrate by introducing ions into the amorphous material to reduce the oxide film underneath the interconnection layer. After introduction of ions into the amorphous layer, the amorphous material is crystallized to increase the conductivity of the interconnection layer.
    Type: Grant
    Filed: September 23, 1996
    Date of Patent: November 24, 1998
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Toshiyuki Kondo
  • Patent number: 5837605
    Abstract: A manufacturing method for transistors wherein silicide is directed doped with a conductive impurity includes the steps of: forming a field oxide film defining an active region on a semiconductor substrate; forming transistors wherein a doped first silicide film is formed on gate electrodes on said active region; forming an interlayer dielectric film having contact holes on the whole surface of said semiconductor substrate; forming spacers on the innerwalls of each contact hole;p forming a thin doped polysilicon film on the whole surface of said semiconductor surface; and forming a doped second silicide film on the whole surface of said doped polysilicon film, filling each contact hole. The silicide film is directly doped with conductive impurity so that the conductive impurity of a polysilicon film can be prevented from being diffused to the outside. Therefore, the doped silicide film is useful to prevent the threshold voltage from increasing and the saturation current from reducing.
    Type: Grant
    Filed: November 27, 1995
    Date of Patent: November 17, 1998
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-wook Park, Dae-rok Bae, Mun-han Park
  • Patent number: 5827762
    Abstract: A buried interconnect structure which is stable at the high temperatures involved in BiCMOS, bipolar, and CMOS transistor process flows, and a method of making the same. The interconnect structure is fully insulated and can be used to form stable, doped structures suitable for use as electrodes and gate structures in a CMOS process, or to form low resistance contacts to N or P-type silicon as part of a bipolar process. Because the interconnect structure is buried and fully insulated from surrounding structures, it may be used to form complex, multi-level devices having a minimized geometry and increased circuit density.
    Type: Grant
    Filed: May 2, 1997
    Date of Patent: October 27, 1998
    Assignee: National Semiconductor Corporation
    Inventors: Rashid Bashir, Francois Hebert, Datong Chen
  • Patent number: 5804504
    Abstract: A method for forming an upper metal wiring which is in contact with an under conductive layer in a highly integrated semiconductor device. The method includes the steps of forming a metal wiring layer on a lower insulating film, forming a contact hole in the insulating film to expose an under conductive layer, and growing a metal layer in the contact hole to fill up the contact hole, so that the metal wiring layer can be in contact with the lower conductive layer.
    Type: Grant
    Filed: April 15, 1997
    Date of Patent: September 8, 1998
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Yang Kyu Choi
  • Patent number: 5801086
    Abstract: A method for forming a contact between a conductive layer and a portion of the substrate during manufacture of a semiconductor device is disclosed. The process includes the steps of: (a) covering a semiconductor substrate with an insulating layer, and forming a contact hole on the portion where a contact is to be formed; (b) forming a metal layer on the whole surface of the substrate, and implanting positive ions into the metal layer; and (c) heat-treating the whole substrate so as to form a silicide layer. The metals used are those which can react with silicon to form a silicide, and may be selected from high melting point metals including Co, Ti, Ta, Ni, Mo, and Hf. The ions used are ions including H+ or halogen element ions, and a heat treatment is carried out so that the implanted positive ions may spread on/in the grain boundaries, or that the positive ions may bond with dangling bonds.
    Type: Grant
    Filed: July 11, 1997
    Date of Patent: September 1, 1998
    Assignee: LG Semicon Co., Ltd.
    Inventor: Chang Jae Lee
  • Patent number: 5798296
    Abstract: A method of fabricating a gate having a barrier layer of titanium silicide is comprised of the steps of forming a layer of gate oxide. The gate oxide may be formed using a standard LOCOS process. A layer of doped polysilicon is deposited over the layer of gate oxide. A layer of titanium silicide is formed in a predetermined relationship with respect the layer of doped polysilicon, i.e., it may be deposited on top of the polysilicon or formed in a top surface of the polysilicon layer. A layer of tungsten silicide is deposited on top of the layer of titanium silicide. The layers of gate oxide, doped polysilicon, titanium silicide, and tungsten silicide are etched to form the gate. A gate thus fabricated is also disclosed.
    Type: Grant
    Filed: May 17, 1996
    Date of Patent: August 25, 1998
    Assignee: Micron Technology, Inc.
    Inventors: Pierre C. Fazan, Hiang C. Chan
  • Patent number: 5783469
    Abstract: A method of fabricating an integrated circuit in which nitrogen is incorporated into the gate dielectric and transistor gate. The method comprises the providing of a semiconductor substrate that has a p-well and a laterally displaced n-well, each including a channel region laterally displaced between a pair of source/drain regions. Preferably, the semiconductor substrate has a resistivity of approximately 10 to 15 .OMEGA.-cm. A dielectric layer is formed on an upper surface of the semiconductor substrate. The formation of the dielectric layer preferably comprises a thermal oxidation performed at a temperature of approximately 600.degree. to 900.degree. C. and the resulting thermal oxide has a thickness less than approximately 50 angstroms. A conductive gate layer is then formed on the dielectric layer. In a preferred embodiment, the conductive gate layer is formed by chemically vapor depositing polysilicon at a pressure of less than approximately 2 torrs at a temperature in the range of approximately 500.
    Type: Grant
    Filed: December 10, 1996
    Date of Patent: July 21, 1998
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Mark C. Gilmer
  • Patent number: 5780359
    Abstract: A process for producing a strip removes photoresist and extraneous deposits of polymer residue on the top surface and sidewalls of a post-metal etch wafer. The photoresist and residue are processed simultaneously by a chemical mechanism comprising reactive species derived from a microwave-excited fluorine-containing downstream gas, and a physical mechanism comprising ion bombardment that results from a radio frequency excited plasma and accompanying wafer self bias. A vacuum pump draws stripped photoresist and residues from the surface of the wafer and exhausts them from the chamber.
    Type: Grant
    Filed: December 11, 1995
    Date of Patent: July 14, 1998
    Assignee: Applied Materials, Inc.
    Inventors: William Brown, Harald Herchen, Walter Merry, Michael Welch
  • Patent number: 5780347
    Abstract: A method and apparatus of forming local interconnects in a MOS process deposits a layer of polysilicon over an entire region after several conventional MOS processing steps. The region is then masked to provide protected regions and unprotected regions. The mask may be used to define local interconnects and other conductive elements such as the source and drain contact regions for a MOS transistor. After masking, the region is bombarded with atoms to enhance the oxidation potential of the unprotected regions. The masking is removed and the substrate is then exposed to oxidizing conditions which cause the unprotected regions to rapidly oxidize to form a thick oxide layer. The formerly protected polysilicon regions may then be doped to render them conductive.
    Type: Grant
    Filed: May 20, 1996
    Date of Patent: July 14, 1998
    Inventor: Ashok K. Kapoor
  • Patent number: 5770517
    Abstract: An integrated circuit fabrication process is provided in which copper is used as the contact plug material for a via. The via is a hole etched through an interlevel dielectric which is disposed upon a semiconductor topography, e.g., a silicon-based substrate having junctions therein. An inert implant may form an implant region within the semiconductor topography lying underneath the via. The process for forming the copper plug involves depositing a diffusion barrier upon the interlevel dielectric and within the via. Copper is then deposited via chemical vapor deposition upon the diffusion barrier such that the copper fills the entire via and forms a layer above the via. The copper is etched from all areas except from within the via, thereby forming a copper plug in the via. The resulting surface is then subjected to chemical-mechanical polishing before the diffusion barrier is removed from areas exclusive of the via.
    Type: Grant
    Filed: March 21, 1997
    Date of Patent: June 23, 1998
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Fred N. Hause
  • Patent number: 5756391
    Abstract: The present invention is directed to a method for inhibiting silicon oxidation on a silicon surface by forming a very thin carbon-containing silicon surface layer on the silicon. The silicon surface is exposed to a carbon-containing plasma to form the carbon-containing silicon layer. The carbon treatment also renders he silicon surface slightly amorphous due to ion bombardments from plasma. An oxide free and slightly amorphous silicon surface promotes homogeneous progress of silicidation reaction between the silicon and a metal deposited thereon, which enables thin but smooth and stable silicide film formation. The present invention is also directed to a method for forming uniform silicon layers only on horizontal portions of features on a substrate. A silicon layer is deposited on to conform to all exposed surfaces of a device. The horizontal surfaces are then exposed to a carbon-containing plasma to form anti-oxidation layers on the horizontal surfaces.
    Type: Grant
    Filed: March 24, 1995
    Date of Patent: May 26, 1998
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masakatsu Tsuchiaki
  • Patent number: 5744398
    Abstract: A method of forming an electrode of a semiconductor device includes the steps of forming an insulating layer on a semiconductor substrate, forming a tungsten silicide layer on the insulating layer, implanting impurity ions into the tungsten silicide layer to form an impurity region in a lower portion of the tungsten silicide layer, and carrying out a heat treatment to the substrate on which the tungsten silicide layer is formed.
    Type: Grant
    Filed: January 23, 1997
    Date of Patent: April 28, 1998
    Assignee: IG Semicon Co., Ltd.
    Inventors: Jeong Soo Byun, Byung Hak Lee
  • Patent number: 5741731
    Abstract: A method of manufacturing a semiconductor device including the steps of: forming an insulating film on an electrical connection area; forming a contact hole in the insulating film; forming a crystalline semiconductor region in the contact hole; forming a wiring layer covering the contact hole; and selectively implanting ions over the wiring layer by using a resist mask to make the crystalline semiconductor region have a high resistance. A semiconductor device having customized wiring connections can be manufactured in a short term.
    Type: Grant
    Filed: December 11, 1995
    Date of Patent: April 21, 1998
    Assignee: Yamaha Corporation
    Inventor: Tomohiro Yuuki
  • Patent number: 5739064
    Abstract: A semiconductor device on a semiconductor wafer, wherein improvements are realized to agglomeration control, resistivity, and thermal stability of a titanium disilicide layer on a polysilicon layer. Agglomeration control is achieved through the use of two carefully selected low dose barrier diffusion matrix implants into the polysilicon layer, one of which is situated at an interface between the layer of polysilicon and the resultant layer of titanium disilicide film after heat treatment, and the other of which is near the surface of the resultant layer of titanium disilicide film after heat treatment.
    Type: Grant
    Filed: November 27, 1996
    Date of Patent: April 14, 1998
    Assignee: Micron Technology, Inc.
    Inventors: Yong-Jun Hu, Pai-Hung Pan, Mark Klare
  • Patent number: 5736436
    Abstract: A gate electrode, a semiconductor thin film, a channel protecting film and a photoresist are accumulated on the overall surface of a transparent substrate on which a gate electrode and a gate line are formed. Ultraviolet rays are irradiated through the substrate so that the photoresist and the channel protecting film are self-aligned with respect to the gate electrode and the gate line. A mask is formed on the channel protecting film so as to extend in a direction perpendicular to the channel protecting film. The channel protecting film and the semiconductor thin film are etched using the mask. As a result, the semiconductor thin film and the channel protecting film are patterned without positional deviation so as to have the same width W. Therefore, it is possible to reduce the thin film transistor forming region and the number of steps of the manufacturing process.
    Type: Grant
    Filed: November 20, 1995
    Date of Patent: April 7, 1998
    Assignee: Casio Computer Co., Ltd.
    Inventors: Hiroshi Matsumoto, Haruo Wakai, Hiroyasu Joubettou
  • Patent number: 5736459
    Abstract: A process for creating a MOSFET device, using a polysilicon contact stud, in a sub-micron diameter contact hole, used to interconnect an underlying active device region, in a semiconductor substrate, and an overlying metal structure, has been developed. The process features depositing a polysilicon layer, to fill a sub-micron diameter contact hole, followed by an oxygen ion implantation procedure, into regions of polysilicon that are not used for the contact stud. A subsequent anneal procedure converts the oxygen implanted regions of the polysilicon layer to a silicon oxide layer. Removal of the silicon oxide layer leaves a polysilicon contact stud, in the sub-micron diameter contact hole.
    Type: Grant
    Filed: May 15, 1997
    Date of Patent: April 7, 1998
    Assignee: Vanguard International Semiconductor Corporation
    Inventor: Horng-Huei Tseng
  • Patent number: 5721166
    Abstract: A method for fabricating polysilicon load resistors, with increased resistance values, for use in SRAM cells, has been developed. An underlying, raised grid topography is used to allow the overlying polysilicon load resistor to traverse the severe topography, resulting in an increase in resistor length, while still maintaining the allotted design space, overlying a MOSFET device. The formation of back to back diodes in the polysilicon load resistor also results in an increase in resistance. The back to back diodes are created via N type, ion implantation into only flat regions of an intrinsic, or P type doped, polysilicon load resistor, regions in which the polysilicon load resistor overlaid the flat regions of the underlying raised grid topography, leaving regions of the polysilicon load resistor, located on the sides of the underlying raised grid topography, P type.
    Type: Grant
    Filed: December 27, 1996
    Date of Patent: February 24, 1998
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jenn Ming Huang, Yi-Miaw Lin
  • Patent number: 5705441
    Abstract: A method is described for forming a high contact resistance region within the drain region or source region of an insulated gate field effect transistor as part of a high resistance resistor for electrostatic discharge protection of the field effect transistor. The silicide free contact region is formed as part of a self aligned silicide, or salicide, contact process. Nitrogen ion implantation followed by annealing is used to form a silicon nitride mask at the silicide free contact region. The mask prevents the formation of low contact resistance metal silicide at the silicide free contact region during the salicide process. Low resistance contacts to the gate electrode, source, and drain are formed using metal silicide.
    Type: Grant
    Filed: March 19, 1996
    Date of Patent: January 6, 1998
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jau-Jey Wang, Yuan-Lung Liu
  • Patent number: 5665646
    Abstract: In order to retrain from rising a temperature of a phase transition for a silicide of refractory metal, such as Ti, Co, Pt, Ni, Mo, W, Ta, or the like, a method for manufacturing a semiconductor device has a process of forming a low electric resistance layer on a surface of a silicon. The process comprises a step of forming, on the surface of the silicon, a layer of silicide of refractory metal with phase transition nuclei therein. The process further comprises a step of subjecting the silicide to phase transition by a phase transition heat treatment at a predetermined transition temperature to convert the silicide layer into a crystalline phase which has low electric resistance. Thereby the low electric resistance layer is formed. Preferably, the silicide of refractory metal with phase transition nuclei is amorphous or the silicide of refractory metal with phase transition nuclei is crystalline but has damages.
    Type: Grant
    Filed: March 6, 1995
    Date of Patent: September 9, 1997
    Assignee: NEC Corporation
    Inventor: Tomohisa Kitano
  • Patent number: 5656546
    Abstract: A self-aligned TiN/TiSi.sub.2 formation using N.sub.2.sup.+ implantation during a two-step annealing Ti-salicidation process is provided. The leakage currents of n.sup.+ /p junction diodes fabricated using this technology were measured to investigate the phenomena of Al spiking into Si-substrate. The measured reverse-bias leakage current of diode per unit junction area with Al/TiN/TiSi.sub.2 contact is 1.2 nA/cm.sup.2 at -5 Volts, which is less than all of reported data. Also it can sustain the annealing process for 30 min at 500.degree. C. Thus, TiN formed with this technology process provides an effective barrier layer between TiSi.sub.2 and Al for submicron CMOS technology applications.
    Type: Grant
    Filed: August 28, 1995
    Date of Patent: August 12, 1997
    Assignee: Taiwan Semiconductor Manufacturing Company Ltd
    Inventors: Chii-Wen Chen, Mong-Song Liang
  • Patent number: 5654241
    Abstract: In a method for manufacturing a semiconductor device, metal ions are doped into the surface regions of diffusion layers or a diffusion layer forming region, thereby forming metal silicide layers of low resistance on only the diffusion layers. In a further method for manufacturing a semiconductor device, metal ions are doped into the surface regions of diffusion layers or a diffusion layer forming region and the upper surface of a gate electrode. Then, the structure is subjected to a process to make a silicide, thereby forming metal silicide layers of low resistance on only the diffusion layers and the gate electrode.
    Type: Grant
    Filed: December 21, 1989
    Date of Patent: August 5, 1997
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masakazu Kakumu