Utilizing Particulate Abradant Patents (Class 438/693)
  • Patent number: 10233356
    Abstract: The invention is an aqueous slurry useful for chemical mechanical polishing a semiconductor substrate having cobalt or cobalt alloy containing features containing Co0. The slurry includes 0.1 to 2 wt % hydrogen peroxide oxidizing agent (?), 0.5 to 3 wt % colloidal silica particles (?), a cobalt corrosion inhibitor, 0.5 to 2 wt % complexing agent (?) selected from at least one of L-aspartic acid, nitrilotriacetic acid, nitrilotri(methylphosphonic acid), ethylenediamine-N,N?-disuccinic acid trisodium salt, and ethylene glycol-bis (2aminoethylether)-N,N,N?,N?-tetraacetic acid, and balance water having a pH of 5 to 9. The total concentrations remain within the following formulae as follows: wt % (?)+wt % (?)=1 to 4 wt % for polishing the cobalt or cobalt alloy; wt % (?)?2*wt % (?) for limiting static etch of the cobalt or cobalt alloy; and wt % (?)+wt % (?)?3*wt % (?) for limiting static etch.
    Type: Grant
    Filed: March 6, 2017
    Date of Patent: March 19, 2019
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Murali G. Theivanayagam, Hongyu Wang
  • Patent number: 10221336
    Abstract: The present invention provides aqueous CMP polishing compositions comprising a from 0.5 to 30 wt. %, based on the total weight of the composition of a dispersion of a plurality of elongated, bent or nodular colloidal silica particles which contain a cationic nitrogen atom, and from 0.001 to 0.5 wt. %, preferably from 10 to 500 ppm, of a cationic copolymer of a diallyldimethylammonium salt, such as a diallyldimethylammonium halide, wherein the compositions have a pH of from 1 to 4.5. Preferably, the cationic copolymer of a diallyldimethylammonium salt comprises a copolymer of diallyldimethylammonium chloride (DADMAC) and sulfur dioxide. The slurry compositions demonstrate good oxide selectivity in the CMP polishing of pattern wafers having nitride and silicon patterns.
    Type: Grant
    Filed: August 18, 2017
    Date of Patent: March 5, 2019
    Assignee: Rohm and Hass Electronic Materials CMP Holdings, Inc.
    Inventors: Julia Kozhukh, David Mosley, Naresh Kumar Penta, Matthew Van Hanehem, Kancharla-Arun K. Reddy
  • Patent number: 10190024
    Abstract: Provided is a polishing composition capable of keeping a good polishing removal rate stably. The polishing composition includes silica particles as abrasives and a basic compound as a polishing removal accelerator. The silica particles have a density of silanol groups that is 1.5 to 6.0 pieces/nm2. The polishing composition has an adsorption ratio parameter A that is 1.2 or less, the adsorption ratio parameter representing concentration dependency of an amount of adsorption of the basic compound to the silica particles as the ratio of high-concentration adsorption amount/low-concentration adsorption amount.
    Type: Grant
    Filed: October 15, 2015
    Date of Patent: January 29, 2019
    Assignee: FUJIMI INCORPORATED
    Inventors: Shuhei Takahashi, Masatoshi Tomatsu
  • Patent number: 10144907
    Abstract: The purpose of the present invention is to provide a means to sufficiently remove impurities remaining on the surface of a polishing object after CMP. The polishing composition of the present invention is a polishing composition which is used after polishing has been performed by using a polishing composition (A) including abrasive grains or an organic compound (A), and is characterized by including an organic compound (B) which includes at least one atom selected from the group consisting of a fluorine atom, an oxygen atom, a nitrogen atom, and a chlorine atom and has a molecular weight of 100 or more, a pH adjusting agent, and 0 to 1% by mass of abrasive grains.
    Type: Grant
    Filed: January 30, 2015
    Date of Patent: December 4, 2018
    Assignee: FUJIMI INCORPORATED
    Inventors: Shuugo Yokota, Shota Suzuki, Tomohiko Akatsuka, Yasuyuki Yamato, Koichi Sakabe, Yoshihiro Izawa, Yukinobu Yoshizaki, Chiaki Saito
  • Patent number: 10138395
    Abstract: An abrasive particle-dispersion layer composite and a polishing slurry composition including the abrasive particle-dispersion layer composite are provided. The abrasive particle-dispersion layer composite includes abrasive particles, a first dispersant that is at least one anionic compound among a copolymer with a functional group of a resonance structure, a carboxyl group-containing polymer and a carboxyl group-containing organic acid, a second dispersant that is at least one cationic compound among an amino acid, an organic acid, polyalkylene glycol and a high-molecular polysaccharide coupled to a glucosamine compound, and a third dispersant that is a cationic polymer including at least two ionized cations in a molecular formula.
    Type: Grant
    Filed: December 8, 2016
    Date of Patent: November 27, 2018
    Assignee: KCTECH CO., LTD.
    Inventors: Jang Kuk Kwon, Sung Pyo Lee, Chang Gil Kwon, Jun Ha Hwang
  • Patent number: 9932497
    Abstract: A polishing liquid comprising an abrasive grain, an additive, and water, wherein the abrasive grain includes a hydroxide of a tetravalent metal element, produces absorbance of 1.00 or more and less than 1.50 for light having a wavelength of 400 nm in an aqueous dispersion having a content of the abrasive grain adjusted to 1.0 mass %, and produces a liquid phase having a content of a non-volatile component of 300 ppm or more when centrifuging an aqueous dispersion having a content of the abrasive grain adjusted to 1.0 mass % for 50 minutes at a centrifugal acceleration of 1.59×105 G.
    Type: Grant
    Filed: March 26, 2013
    Date of Patent: April 3, 2018
    Assignee: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Tomohiro Iwano, Hisataka Minami, Toshiaki Akutsu, Koji Fujisaki
  • Patent number: 9896604
    Abstract: Described herein are compositions, kits and methods for polishing sapphire surfaces using compositions having colloidal aluminosilicate particles in an aqueous acidic solution. In some aspects, the methods for polishing a sapphire surface may include abrading a sapphire surface with a rotating polishing pad and a polishing composition. The polishing composition may include an amount of a colloidal aluminosilicate and may have a pH of about 2.0 to about 7.0.
    Type: Grant
    Filed: March 12, 2014
    Date of Patent: February 20, 2018
    Assignee: ECOLAB USA INC.
    Inventors: Kim Marie Long, Michael A. Kamrath, Sean McCue
  • Patent number: 9892971
    Abstract: A method of forming a 3D crack-stop structure in, through, and wrapped around the edges of a substrate to prevent through-substrate cracks from propagating and breaking the substrate and the resulting device are provided. Embodiments include providing a substrate including one or more dies; forming a continuous first trench near an outer edge of the substrate; forming a continuous second trench parallel to and on an opposite side of the first trench from the outer edge; forming a continuous row of vias parallel to and on an opposite side of the second trench from the first trench, forming a continuous third trench parallel to and near an outer edge of each of the dies; forming a protective layer wrapping around the outer edge of the substrate and over and filling the trenches and vias; and patterning active areas of the substrate between the vias and the third trench.
    Type: Grant
    Filed: December 28, 2016
    Date of Patent: February 13, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Ivan Huang, Elavarasan Pannerselvam, Vijay Sukumaran
  • Patent number: 9828574
    Abstract: The invention provides a composition for cleaning contaminants from semiconductor wafers following chemical-mechanical polishing. The cleaning composition contains one or more quaternary ammonium hydroxides, one or more organic amines, one or more metal inhibitors, and water. The invention also provides methods for using the cleaning composition.
    Type: Grant
    Filed: January 12, 2016
    Date of Patent: November 28, 2017
    Assignee: Cabot Microelectronics Corporation
    Inventors: Roman Ivanov, Fernando Hung, Cheng-Yuan Ko, Fred Sun
  • Patent number: 9803161
    Abstract: A cleaning agent is provided for a semiconductor substrate superior in corrosion resistance of a tungsten wiring or a tungsten alloy wiring, and superior in removal property of polishing fines (particle) such as silica or alumina, remaining at surface of the semiconductor substrate, in particular, at surface of a silicon oxide film such as a TEOS film, after a chemical mechanical polishing process; and a method for processing a semiconductor substrate surface. A cleaning agent for a semiconductor substrate is to be used in a post process of a chemical mechanical polishing process of the semiconductor substrate having a tungsten wiring or a tungsten alloy wiring, and a silicon oxide film, comprising (A) a phosphonic acid-based chelating agent, (B) a primary or secondary monoamine having at least one alkyl group or hydroxyalkyl group in a molecule and (C) water, wherein a pH is over 6 and below 7.
    Type: Grant
    Filed: April 26, 2013
    Date of Patent: October 31, 2017
    Assignee: WAKO PURE CHEMICAL INDUSTRIES, LTD.
    Inventors: Hiromi Kawada, Hironori Mizuta, Tsuneaki Maesawa
  • Patent number: 9803108
    Abstract: The present invention provides aqueous chemical mechanical planarization (CMP) polishing compositions have excellent heat aging and shelf stability in the form of concentrates comprising a mixture of a compound containing two quaternary ammonium groups, such as hexabutyl C1-C8 alkanediammonium dihydroxides or salts thereof, preferably N,N,N,N?,N?,N?-hexabutyl-1,4-butanediammonium dihydroxide (HBBAH), and aminosilane group containing silica particles in the amount of from 1 to 30 wt. % or, preferably, from 15 to 22 wt. %, as solids based on the total weight of the composition, the composition having a pH ranging from 3 to 5 or, preferably, from 3.5 to 4.5 wherein the composition is stable against visible precipitation or sedimentation at a 15 wt. % solids content after heat aging at a temperature of 45° C. for at least 6 days.
    Type: Grant
    Filed: October 19, 2016
    Date of Patent: October 31, 2017
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, David Mosley, David L. Thorsen
  • Patent number: 9803107
    Abstract: The present invention relates to a polishing agent including: cerium oxide particles; a water-soluble polyamine; potassium hydroxide; at least one selected from an organic acid and a salt thereof; and water, in which the polishing agent has a pH of 10 or more, a polishing method using the polishing agent, and a method for manufacturing a semiconductor integrated circuit device.
    Type: Grant
    Filed: February 10, 2016
    Date of Patent: October 31, 2017
    Assignee: ASAHI GLASS COMPANY, LIMITED
    Inventors: Masaru Suzuki, Toshihiko Otsuki
  • Patent number: 9799532
    Abstract: The CMP polishing liquid of the invention comprises a metal salt containing at least one type of metal selected from the group consisting of metals of Groups 8, 11, 12 and 13, 1,2,4-triazole, a phosphorus acid, an oxidizing agent and abrasive grains. The polishing method of the invention comprises a step of polishing at least a palladium layer with an abrasive cloth while supplying a CMP polishing liquid between the palladium layer of a substrate having the palladium layer and the abrasive cloth, wherein the CMP polishing liquid comprises a metal salt containing at least one type of metal selected from the group consisting of metals of Groups 8, 11, 12 and 13, 1,2,4-triazole, a phosphorus acid, an oxidizing agent and abrasive grains.
    Type: Grant
    Filed: January 4, 2011
    Date of Patent: October 24, 2017
    Assignee: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Hisataka Minami, Jin Amanokura, Sou Anzai
  • Patent number: 9761454
    Abstract: A method of polishing a SiC substrate by supplying a polishing liquid and bringing a polishing pad into contact with the SiC substrate is provided. The polishing liquid contains a permanganate, inorganic salts having an oxidizing ability, and water. The method includes: a first polishing step of polishing the SiC substrate by use of a first polishing pad; and a second polishing step of polishing the SiC substrate by use of a second polishing pad softer than the first polishing pad after the first polishing step.
    Type: Grant
    Filed: October 28, 2015
    Date of Patent: September 12, 2017
    Assignee: DISCO CORPORATION
    Inventors: Katsuyoshi Kojima, Takeshi Sato
  • Patent number: 9752057
    Abstract: A chemical mechanical polishing (CMP) method for removal of a metal layer deposited over a titanium nitride (TiN) or titanium/titanium nitride (Ti/TiN) barrier layer is described herein. The method comprises abrading the metal layer with an acidic CMP composition to expose the underlying TiN or Ti/TiN layer, wherein the TiN or Ti/N layer is polished at a low rate due to the presence of a surfactant inhibitor. The acidic CMP composition comprises a particulate abrasive (e.g., silica, alumina) suspended in a liquid carrier containing a surfactant selected from the group consisting of an anionic surfactant, a nonionic surfactant, cation surfactants, and a combination thereof.
    Type: Grant
    Filed: February 6, 2015
    Date of Patent: September 5, 2017
    Assignee: Cabot Microelectronics Corporation
    Inventors: Hui-Fang Hou, William Ward, Ming-Chih Yeh, Chih-Pin Tsai
  • Patent number: 9662763
    Abstract: Provided is a polishing composition containing at least aluminum oxide abrasive grains and water, and having a pH of 8.5 or higher. The aluminum oxide abrasive grains have a specific surface area of 20 m2/g or less. It is preferable for the aluminum oxide abrasive grains to have an average secondary particle size of 0.1 ?m or more and 20 ?m or less. The polishing composition is used for polishing hard and brittle materials having a Vickers hardness of 1,500 Hv or higher, such as sapphire, silicon carbide, and gallium nitride.
    Type: Grant
    Filed: February 20, 2012
    Date of Patent: May 30, 2017
    Assignee: FUJIMI INCORPORATED
    Inventors: Hiroshi Asano, Kazusei Tamai, Yasunori Okada
  • Patent number: 9574110
    Abstract: A barrier chemical mechanical planarization polishing composition is provided that includes suitable chemical additives. The suitable chemical additives are organic polymer molecules containing ethylene oxide repeating units having the general molecular structure of where n refers to the total numbers of the repeating unit giving the molecular weights of polyethylene oxide ranging from 100,000 to 8,000,000. There is also provided a chemical mechanical polishing method using the barrier chemical mechanical planarization polishing composition.
    Type: Grant
    Filed: September 30, 2014
    Date of Patent: February 21, 2017
    Assignee: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Maitland Gary Graham, James Allen Schlueter, Xiaobo Shi
  • Patent number: 9534148
    Abstract: A process for chemical mechanical polishing of a substrate is provided, comprising: providing the substrate, wherein the substrate has an exposed silicon dioxide; providing a chemical mechanical polishing composition, consisting of, as initial components: water, a colloidal silica abrasive; optionally, a substance according to formula (I); a substance according to formula (II); and, optionally, a pH adjusting agent; wherein a pH of the chemical mechanical polishing composition is ?6; providing a chemical mechanical polishing pad with a polishing surface; dispensing the chemical mechanical polishing composition onto the polishing surface in proximity to an interface between the chemical mechanical polishing pad and the substrate; and, creating dynamic contact at the interface between the chemical mechanical polishing pad and the substrate with a down force of 0.69 to 34.5 kPa; wherein the substrate is polished; wherein some of the exposed silicon dioxide is removed from the substrate.
    Type: Grant
    Filed: December 21, 2015
    Date of Patent: January 3, 2017
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, David Mosley
  • Patent number: 9487675
    Abstract: A chemical mechanical polishing (CMP) composition comprising: (A) inorganic particles, organic particles, or a mixture or composite thereof, (B) at least one type of an organic polymeric compound as a dispersing agent or charge reversal agent comprising a phosphonate (P(?O)(OR1)(OR2) or phosphonic acid (P(?O)(OH)2) moiety or their deprotonated forms as pendant groups, wherein R1 is alkyl, aryl, alkylaryl, or arylalkyl, R2 is H, alkyl, aryl, alkylaryl, or arylalkyl, and (C) an aqueous medium.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: November 8, 2016
    Assignee: BASF SE
    Inventors: Vijay Immanuel Raman, Yuzhuo Li, Christian Schade, Shyam Sundar Venkataraman, Eason Yu-Shen Su, Sheik Ansar Usman Ibrahim
  • Patent number: 9396945
    Abstract: A method that includes at least a CMP step of subjecting both a Si surface (1a) and a C surface (1b) of an SiC substrate (1) to double-sided polishing using a CMP (Chemical Mechanical Polishing) method with a C surface/Si surface processing selectivity ratio of 3.0 or greater.
    Type: Grant
    Filed: June 17, 2014
    Date of Patent: July 19, 2016
    Assignee: SHOWA DENKO K.K.
    Inventor: Yuzo Sasaki
  • Patent number: 9362119
    Abstract: The present disclosure provides a method of patterning a target material layer over a semiconductor substrate. The method includes steps of: forming a plurality of first features over the target material layer using a first sub-layout, with each first feature having sidewalls; forming a plurality of spacer features, with each spacer feature conforming to the sidewalls of one of the first features and having a spacer width; and forming a plurality of second features over the target material layer using a second sub-layout. The method further includes steps of removing the plurality of spacer features from around each first feature and patterning the target material layer using the plurality of first features and the plurality of second features. Other methods and associated patterned semiconductor wafers are also provided herein.
    Type: Grant
    Filed: April 25, 2014
    Date of Patent: June 7, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tsong-Hua Ou, Ken-Hsien Hsieh, Shih-Ming Chang, Wen-Chun Huang, Chih-Ming Lai, Ru-Gun Liu, Tsai-Sheng Gau
  • Patent number: 9318369
    Abstract: A semiconductor device including a plurality of active patterns, a plurality of first isolation layer patterns and a plurality of second isolation layer patterns may be provided. In particular, the active patterns may be arranged both in a first direction and in a second direction, and may protrude from a substrate and have a length in the first direction. The first isolation layer patterns may fill a first space, the first space provided between the active patterns and arranged in the first direction, and support two opposing sidewalls of neighboring active patterns. The second isolation layer patterns may fill a second space between the active patterns and the first isolation layer patterns. Accordingly, the active patterns of the semiconductor device may not collapse or incline because the first isolation layer patterns support the active patterns.
    Type: Grant
    Filed: February 7, 2014
    Date of Patent: April 19, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Je-Min Park
  • Patent number: 9312141
    Abstract: A method for polishing a carbon overcoat of a magnetic media that results in a smooth surface free of carbon cluster debris. The method involves forming a magnetic disk having a carbon overcoat formed thereon. The carbon overcoat is then polished in the presence of ozone (O3). The heat from the polishing process along with the presence of the ozone, cause any carbon particles removed by the polishing to form CO2 gas so that there is no remaining carbon particle debris on the surface of the disk.
    Type: Grant
    Filed: November 21, 2013
    Date of Patent: April 12, 2016
    Assignee: HGST Netherlands B.V.
    Inventors: Thomas E. Karis, Bruno Marchon, Bala K. Pathem, Franck D. Rose dit Rose, Kurt A. Rubin, Erhard Schreck
  • Patent number: 9287132
    Abstract: Provided are a multi-selective polishing slurry composition and a semiconductor element production method using the same. A silicon film provided with element patterns is formed on the uppermost part of a substrate having a first region and a second region. The element pattern density on the first region is higher than the element pattern density on the second region. Formed in sequence on top of the element patterns are a first silicon oxide film, a silicon nitride film and a second silicon oxide film. The substrate is subjected to chemical-mechanical polishing until the silicon film is exposed, by using a polishing slurry composition containing a polishing agent, a silicon nitride film passivation agent and a silicon film passivation agent.
    Type: Grant
    Filed: March 5, 2015
    Date of Patent: March 15, 2016
    Assignee: Industry-University Cooperation Foundation Hanyang University
    Inventors: Jea-Gun Park, Un-Gyu Paik, Jin-Hyung Park, Hao Cui, Jong-Young Cho, Hee-Sub Hwang, Jae-Hyung Lim, Ye-Hwan Kim
  • Patent number: 9275899
    Abstract: A composition and method for tungsten is provided comprising: a metal oxide abrasive; an oxidizer; a tungsten removal rate enhancing substance according to formula I; and, water; wherein the polishing composition exhibits an enhanced tungsten removal rate and a tungsten removal rate enhancement.
    Type: Grant
    Filed: June 27, 2014
    Date of Patent: March 1, 2016
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, Raymond L. Lavoie, Jr.
  • Patent number: 9260643
    Abstract: The present invention is directed to a method for producing a slurry used in a wire saw, including: re-pulverizing with a jet mill part or all of the abrasive grains pulverized with a roller mill or a ball mill such that the abrasive grains have an average circularity of 0.900 or more; and blending the abrasive grains whose the average circularity is 0.900 or more with a coolant to produce the slurry, and to a slurry including blended abrasive grains having an average circularity of 0.900 or more. The invention enables suppression of reduction in slicing capability due to reduction in abrasive-grains concentration and of increased costs due to reduction in slicing quality and in productivity, even when abrasive grains having a grain diameter smaller than that of #2000-size abrasive grains are used to reduce a kerf loss.
    Type: Grant
    Filed: October 4, 2012
    Date of Patent: February 16, 2016
    Assignee: SHIN-ETSU HANDOTAI CO., LTD.
    Inventor: Koji Kitagawa
  • Patent number: 9193032
    Abstract: A supplying system of adding gas into the polishing slurry and method thereof are described. The supplying system includes a slurry container, a gas-mixed container, an adjusting device, a first flow controller, and a second flow controller. The supplying system utilizes the adjusting device to mix the polishing slurry with gas for forming the gas-mixed polishing slurry. The supplying system of adding the gas into the polishing slurry and method thereof are capable of increasing the material removal rate of the surface of the substrate in order to improve the processing quality of the substrate.
    Type: Grant
    Filed: April 13, 2014
    Date of Patent: November 24, 2015
    Assignee: NATIONAL TAIWAN UNIVERSITY OF SCIENCE AND TECHNOLOGY
    Inventors: Chao-Chang Chen, Ping-Shen Chou, Wei-Kang Tu
  • Patent number: 9163162
    Abstract: A polishing agent according to one embodiment of the present invention contains a liquid medium, an abrasive grain including a hydroxide of a tetravalent metal element, a polymer compound having an aromatic ring and a polyoxyalkylene chain, and a cationic polymer, wherein a weight average molecular weight of the polymer compound is 1000 or more.
    Type: Grant
    Filed: July 30, 2013
    Date of Patent: October 20, 2015
    Assignee: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Toshiaki Akutsu, Hisataka Minami, Tomohiro Iwano, Koji Fujisaki
  • Patent number: 9157012
    Abstract: Provided is a process for the manufacture of semiconductor devices comprising the chemical mechanical polishing of borophosphosilicate glass (BPSG) material in the presence of a chemical mechanical polishing (CMP) composition which comprises: (A) inorganic particles, organic particles, or a mixture or composite thereof, (B) at least one type of anionic phosphate or phosphonate as dispersing agent or charge reversal agent, (C) at least one type of surfactant, and (D) an aqueous medium.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: October 13, 2015
    Assignee: BASF SE
    Inventors: Shyam Sundar Venkataraman, Eason Yu-Shen Su
  • Patent number: 9059194
    Abstract: Partial removal of organic planarizing layer (OPL) material forms a plug of OPL material within an aperture that protects underlying material or electronic device such as a deep trench capacitor during other manufacturing processes. The OPL plug thus can absorb any differences or non-uniformity in, for example, etch rates across the chip or wafer and preserve recess dimensions previously formed. Control of a lateral component of later removal of the OPL plug by etching also can increase tolerance of overlay error in forming connections and thus avoid loss in manufacturing yield.
    Type: Grant
    Filed: January 10, 2013
    Date of Patent: June 16, 2015
    Assignee: International Business Machines Corporation
    Inventors: Colin J. Brodsky, Anne C. Friedman, Herbert Lei Ho, Byeong Yeol Kim, Dan Mihai Mocuta, Garrett W. Oakley, Chienfan Yu
  • Publication number: 20150147884
    Abstract: The present invention provides a slurry for chemical mechanical polishing, containing abrasive grain (a), compound (b) having an amino group having a pKa of more than 9, and not less than 3 hydroxyl groups, and water.
    Type: Application
    Filed: May 27, 2013
    Publication date: May 28, 2015
    Applicant: KURARAY CO., LTD.
    Inventors: Mitsuru Kato, Chihiro Okamoto, Shinya Kato
  • Publication number: 20150132956
    Abstract: Provided are novel chemical mechanical polishing (CMP) slurry compositions for polishing copper substrates and method of using the CMP compositions. The CMP slurry compositions deliver superior planarization with high and tunable removal rates and low defects when polishing bulk copper layers of the nanostructures of IC chips. The CMP slurry compositions also offer the high selectivity for polishing copper relative to the other materials (such as Ti, TiN, Ta, TaN, and Si), suitable for through-silicon via (TSV) CMP process which demands high copper film removal rates.
    Type: Application
    Filed: January 16, 2015
    Publication date: May 14, 2015
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Xiaobo Shi, Krishna Murella, James Allen Schlueter, Jae Ouk Choo
  • Publication number: 20150132958
    Abstract: The invention relates to a contact release capsule comprising a particle, a chemical payload, and a polymer coating, wherein the particle is impregnated with the chemical payload, and the chemical payload is held inside the particle by the polymer coating until the contact release capsule contacts a surface and a shearing force removes the polymer coating allowing the chemical payload to release outside the particle. The contact release capsule is useful in chemical mechanical planarization slurries. Particularly, the contact release capsule may comprise a glycine impregnated silica nanoparticle coated with a polymer, wherein the contact release capsule is dispersed in an aqueous solution and used in the copper chemical mechanical planarization process. Use of the contact release capsule in a slurry for copper chemical mechanical planarization may significantly improve planarization efficiency, decrease unwanted etching and corrosion, and improve dispersion stability.
    Type: Application
    Filed: January 20, 2015
    Publication date: May 14, 2015
    Inventor: Robin Ihnfeldt
  • Publication number: 20150132957
    Abstract: The present disclosure is directed to a highly dilutable chemical mechanical polishing concentrate comprising an abrasive, an acid, a stabilizer, and water with a point-of-use pH ranging from 2.2-3.5 for planarizing current and next generation semiconductor integrated circuit FEOL/BEOL substrates.
    Type: Application
    Filed: January 16, 2015
    Publication date: May 14, 2015
    Inventors: Bin Hu, Abhiskek Singh, Gert Moyaerts, Deepak Mahulikar, Richard Wen
  • Patent number: 9023734
    Abstract: A method of etching exposed silicon oxide on patterned heterogeneous structures is described and includes a remote plasma etch formed from a fluorine-containing precursor. Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents combine with a nitrogen-and-hydrogen-containing precursor. Reactants thereby produced etch the patterned heterogeneous structures with high silicon oxide selectivity while the substrate is at high temperature compared to typical Siconi™ processes. The etch proceeds without producing residue on the substrate surface. The methods may be used to remove silicon oxide while removing little or no silicon, polysilicon, silicon nitride or titanium nitride.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: May 5, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Zhijun Chen, Jingchun Zhang, Ching-Mei Hsu, Seung Park, Anchuan Wang, Nitin K. Ingle
  • Publication number: 20150118845
    Abstract: A chemical-mechanical polishing (“CMP”) composition (P) comprising (A) inorganic particles, organic particles, or a mixture or composite thereof, (B) at least one type of A/-heterocyclic compound as corrosion inhibitor, (C) at least one type of a further corrosion inhibitor selected from the group consisting of: (C1) an acetylene alcohol, and (C2) a salt or an adduct of (C2a) an amine, and (C2b) a carboxylic acid comprising an amide moiety, (D) at least one type of an oxidizing agent, (E) at least one type of a complexing agent, and (F) an aqueous medium.
    Type: Application
    Filed: March 19, 2012
    Publication date: April 30, 2015
    Applicant: BASF SE
    Inventor: Ning Gao
  • Patent number: 9018023
    Abstract: An efficient method of detecting defects in metal patterns on the surface of wafers. Embodiments include forming a metal pattern on each of a plurality of wafers, polishing each wafer, and analyzing the surface of the metal pattern on each polished wafer for the presence of defects in the metal pattern by analyzing an optical across-wafer endpoint signal, generated at the endpoint of polishing. Embodiments include determining the location of defects in the metal pattern by determining the position of non-uniformities in the optical-across-wafer endpoint signal.
    Type: Grant
    Filed: August 16, 2011
    Date of Patent: April 28, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Mike Schlicker
  • Publication number: 20150111383
    Abstract: A polishing liquid composition for a silicon wafer, wherein the composition comprises silica particles (component A), at least one kind of nitrogen-containing basic compound (component B) selected from an amine compound and an ammonium compound, and a water-soluble macromolecular compound (component C) that contains 10 wt % or more of a constitutional unit I represented by a general formula (1) below and has a weight average molecular weight of 50,000 or more and 1,500,000 or less; and the pH at 25° C. is 8.0 to 12.0. In the general formula (1), R1 and R2 each independently represents a hydrogen, a C1 to C8 alkyl group, or a C1 to C2 hydroxyalkyl group, and R1 and R2 are never both hydrogens.
    Type: Application
    Filed: April 16, 2013
    Publication date: April 23, 2015
    Applicant: KAO CORPORATION
    Inventors: Joji Miura, Yoshiaki Matsui, Yuki Kato, Yuki Kotaka
  • Patent number: 9012327
    Abstract: A low defect chemical mechanical polishing composition for polishing silicon oxide containing substrates is provided comprising, as initial components: water, a colloidal silica abrasive; and, an additive according to formula I.
    Type: Grant
    Filed: September 18, 2013
    Date of Patent: April 21, 2015
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventor: Yi Guo
  • Publication number: 20150104939
    Abstract: Disclosed are a chemical-mechanical polishing composition and a method of polishing a substrate. The polishing composition comprises low average particle size (e.g., 30 nm or less) wet-process ceria abrasive particles, at least one alcohol amine, and water, wherein said polishing composition has a pH of about 6. The polishing composition can be used, e.g., to polish any suitable substrate, such as a polysilicon wafer used in the semiconductor industry.
    Type: Application
    Filed: October 10, 2013
    Publication date: April 16, 2015
    Applicant: Cabot Miroelectronics Corporation
    Inventors: Brian REISS, Jeffrey Dysard, Sairam Shekhar
  • Publication number: 20150104941
    Abstract: A barrier chemical mechanical planarization polishing composition is provided that includes suitable chemical additives. The suitable chemical additives are organic polymer molecules containing ethylene oxide repeating units having the general molecular structure of where n refers to the total numbers of the repeating unit ranging from 6,818 to 181,817; and the molecular weights of polyethylene oxide ranging from 100,000 to 8,000,000. There is also provided a chemical mechanical polishing method using the barrier chemical mechanical planarization polishing composition.
    Type: Application
    Filed: September 30, 2014
    Publication date: April 16, 2015
    Inventors: Maitland Gary Graham, JAMES ALLEN SCHLUETER, XIAOBO SHI
  • Publication number: 20150104940
    Abstract: A barrier chemical mechanical planarization polishing composition is provided that includes the suitable chemical additives. The suitable chemical additives are organic polymer molecules containing ethylene oxide repeating units having the general molecular structure of where n refers to the total numbers of the repeating unit ranging from 6,818 to 181,817; and the molecular weights of polyethylene oxide ranged from 100,000 to 8,000,000. There is also provided a chemical mechanical polishing method using the barrier chemical mechanical planarization polishing composition.
    Type: Application
    Filed: October 11, 2013
    Publication date: April 16, 2015
    Applicant: AIR PRODUCTS AND CHEMICALS INC.
    Inventors: Maitland Gary Graham, JAMES ALLEN SCHLUETER, XIAOBO SHI
  • Patent number: 9005472
    Abstract: An aqueous polishing agent, comprising, as the abrasive, at least one kind of polymer particles (A) finely dispersed in the aqueous phase and having at their surface a plurality of at least one kind of functional groups (a1) capable of interacting with the metals and/or the metal oxides on top of the surfaces to be polished and forming complexes with the said metals and metal cations, the said polymer particles (A) being preparable by the emulsion or suspension polymerization of at least one monomer containing at least one radically polymerizable double bond in the presence of at least one oligomer or polymer containing a plurality of functional groups (a1); graft copolymers preparable by the emulsion or suspension polymerization of at least one monomer containing at least one radically polymerizable double bond in the presence of at least one oligomeric or polymeric aminotriazine-polyamine condensate; and a process for the chemical and mechanical polishing of patterned and unstructured metal surfaces making
    Type: Grant
    Filed: January 19, 2011
    Date of Patent: April 14, 2015
    Assignee: BASF SE
    Inventors: Vijay Immanuel Raman, Ilshat Gubaydullin, Mario Brands, Yuzhuo Li, Maxim Peretolchin
  • Patent number: 9006010
    Abstract: Radiation detectors and methods of fabricating radiation detectors are provided. One method includes mechanically polishing at least a first surface of a semiconductor wafer using a polishing sequence including a plurality of polishing steps, wherein a last polishing step of the polishing sequence includes polishing with a slurry having a grain size smaller than about 0.1 ?m to create a polished first surface. The method also includes applying (i) an encapsulation layer on a top of the polished first surface to seal the polished first surface and (ii) a photoresist layer on top of the encapsulation layer on the polished first surface. The method further includes creating undercuts of the encapsulation layer under the photoresist layer. The method additionally includes partially etching the polished first surface of the semiconductor via the openings in the photoresist layer and in the encapsulation layer to partially etch the semiconductor creating etched regions.
    Type: Grant
    Filed: November 22, 2011
    Date of Patent: April 14, 2015
    Assignee: General Electric Company
    Inventors: Arie Shahar, Eliezer Traub, Diego Sclar, Peter Rusian
  • Publication number: 20150099361
    Abstract: A process for the manufacture of semiconductor devices is provided. The process comprises the chemical-mechanical polishing of a substrate or layer containing at least one III-V material in the presence of a chemical-mechanical polishing composition (Q1) comprising (A) inorganic particles, organic particles, or a mixture or composite thereof, (B) a polymer comprising at least one N-heterocycle, and (M) an aqueous medium and whereas Q1 has a pH of from 1.5 to 4.5.
    Type: Application
    Filed: April 29, 2013
    Publication date: April 9, 2015
    Applicant: BASF SE
    Inventors: Diana Franz, Bastian Marten Noller
  • Patent number: 8999193
    Abstract: Chemical-mechanical polishing (CMP) compositions containing chemical additives and methods of using the CMP compositions are disclosed. The CMP composition comprises abrasive; chemical additive; liquid carrier; optionally an oxidizing agent; a pH buffering agent and salt; a surfactant and a biocide. The CMP compositions and the methods provide enhanced removing rate for “SiC”, SiN” and “SiCxNy” films; and tunable removal selectivity for “SiC” in reference to SiO2, “SiN” in reference to SiO2, “SiC” in reference to “SiN”, or “SiCxNy” in reference to SiO2; wherein x ranges from 0.1 wt % to 55 wt %, y ranges from 0.1 wt % to 32 wt %.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: April 7, 2015
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Xiaobo Shi, James Allen Schlueter, Maitland Gary Graham, Savka I. Stoeva, James Matthew Henry
  • Publication number: 20150093900
    Abstract: A chemical mechanical polishing composition for polishing silicon wafers is provided, containing: water, optionally, an abrasive; a cation according to formula (I); piperazine or a piperazine derivative according to formula (II); and, a quaternary ammonium compound; wherein the chemical mechanical polishing composition exhibits a pH of 9 to 12. Also provided are methods of making and using the chemical mechanical polishing composition.
    Type: Application
    Filed: September 27, 2013
    Publication date: April 2, 2015
    Inventors: Yasuyuki Itai, Naresh Kumar Penta, Naoko Kawai, Hiroyuki Nakano, Shinichi Haba, Yoshiharu Ota, Takayuki Matsushita, Masashi Teramoto, Sakiko Nakashima, Tomoyuki Toda, Koichi Yoshida, Lee Melbourne Cook
  • Patent number: 8993443
    Abstract: Selective removal of specified layers of thin film structures and devices, such as solar cells, electrochromics, and thin film batteries, by laser direct patterning is achieved by including heat and light blocking layers in the device/structure stack immediately adjacent to the specified layers which are to be removed by laser ablation. The light blocking layer is a layer of metal that absorbs or reflects a portion of the laser energy penetrating through the dielectric/semiconductor layers and the heat blocking layer is a conductive layer with thermal diffusivity low enough to reduce heat flow into underlying metal layer(s), such that the temperature of the underlying metal layer(s) does not reach the melting temperature, Tm, or in some embodiments does not reach (Tm)/3, of the underlying metal layer(s) during laser direct patterning.
    Type: Grant
    Filed: August 8, 2012
    Date of Patent: March 31, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Daoying Song, Chong Jiang, Byung-Sung Leo Kwak, Joseph G. Gordon, II
  • Publication number: 20150079788
    Abstract: A low defect chemical mechanical polishing composition for polishing silicon oxide containing substrates is provided comprising, as initial components: water, a colloidal silica abrasive; and, an additive according to formula I.
    Type: Application
    Filed: September 18, 2013
    Publication date: March 19, 2015
    Inventor: Yi Guo
  • Patent number: RE45468
    Abstract: A method of polishing a metal layer comprising the following steps. A structure having an upper patterned dielectric layer with an opening therein is provided. A barrier layer is formed over the patterned upper dielectric layer and lining the opening. A metal layer is formed over the barrier layer, filling the opening. A first polish step employing a first slurry composition is conducted to remove a portion of the overlying metal layer. A second polish step employing the first slurry composition is conducted to: polish the partially removed overlying metal layer; and to expose portions of the barrier layer overlying the patterned upper dielectric layer. A third polish step employing a second slurry composition is conducted to remove the exposed barrier layer portions and exposing underlying portions of the patterned upper dielectric layer. A fourth polish step employing the second slurry composition and BTA is conducted to buff the exposed upper dielectric layer portions.
    Type: Grant
    Filed: July 27, 2006
    Date of Patent: April 14, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Chen Chen, Ching-Ming Tsai, Ray-Ting Chang