Utilizing Particulate Abradant Patents (Class 438/693)
  • Publication number: 20150079789
    Abstract: The polishing composition has a pH of 7 or more and is used in applications for polishing a silicon substrate. The polishing composition contains abrasive grains and a water-soluble polymer. The water-soluble polymer is a copolymer including a first monomer unit having a characteristic value P of 50-100 inclusive, and a second monomer unit having a characteristic value P of at least ?100 and less than 50. The characteristic value P is the result of subtracting an adsorption coefficient S2 of the abrasive grains obtained through a specific standard test B from a wettability coefficient S1 of the silicon substrate obtained through a specific standard test A.
    Type: Application
    Filed: March 12, 2013
    Publication date: March 19, 2015
    Applicant: FUJIMI INCORPORATED
    Inventors: Yoshio Mori, Kohsuke Tsuchiya, Maki Asada, Shuhei Takahashi
  • Patent number: 8980750
    Abstract: A chemical mechanical polishing (CMP) composition (Q) comprising (A) Inorganic particles, organic particles, or a mixture or composite thereof, wherein the particles are cocoon-shaped (B) a non-ionic surfactant, (C) a carbonate or hydrogen carbonate salt, (D) an alcohol, and (M) an aqueous medium.
    Type: Grant
    Filed: July 2, 2013
    Date of Patent: March 17, 2015
    Assignee: BASF SE
    Inventors: Robert Reichardt, Yuzhuo Li, Michael Lauter, Wei Lan William Chiu
  • Patent number: 8980113
    Abstract: A method for chemical mechanical polishing of a substrate includes polishing the substrate at a stock removal rate of greater than about 2.5 ?/min to achieve a Ra of not greater than about 5.0 ?. The substrate can be a III-V substrate or a SiC substrate. The polishing utilizes a chemical mechanical polishing slurry comprising ultra-dispersed diamonds and at least 80 wt % water.
    Type: Grant
    Filed: March 12, 2010
    Date of Patent: March 17, 2015
    Assignee: Saint-Gobain Ceramics & Plastics, Inc.
    Inventors: Jun Wang, Ronald W. Laconto, Andrew G. Haerle
  • Patent number: 8980748
    Abstract: A substrate polishing method, a semiconductor device and a fabrication method for a semiconductor device are disclosed by which high planarization polishing can be achieved. In the substrate polishing method, two or more different slurries formed from ceria abrasive grains having different BET values from each other are used to carry out two or more stages of chemical-mechanical polishing processing of a polishing object oxide film on a substrate to flatten the polishing object film.
    Type: Grant
    Filed: January 3, 2008
    Date of Patent: March 17, 2015
    Assignee: Sony Corporation
    Inventors: Hiroko Nakamura, Takaaki Kozuki, Takayuki Enomoto, Yuichi Yamamoto
  • Patent number: 8980122
    Abstract: The invention relates to a contact release capsule comprising a particle, a chemical payload, and a polymer coating, wherein the particle is impregnated with the chemical payload, and the chemical payload is held inside the particle by the polymer coating until the contact release capsule contacts a surface and a shearing force removes the polymer coating allowing the chemical payload to release outside the particle. The contact release capsule is useful in chemical mechanical planarization slurries. Particularly, the contact release capsule may comprise a glycine impregnated silica nanoparticle coated with a polymer, wherein the contact release capsule is dispersed in an aqueous solution and used in the copper chemical mechanical planarization process. Use of the contact release capsule in a slurry for copper chemical mechanical planarization may significantly improve planarization efficiency, decrease unwanted etching and corrosion, and improve dispersion stability.
    Type: Grant
    Filed: July 5, 2012
    Date of Patent: March 17, 2015
    Assignee: General Engineering & Research, L.L.C.
    Inventor: Robin Ihnfeldt
  • Publication number: 20150072525
    Abstract: A method for chemical mechanical polishing of a body to be polished in a planarization process for manufacturing of a semiconductor integrated circuit. The body to be polished including at least a first layer containing polysilicon or modified polysilicon and a second layer containing at least one selected from the group consisting of silicon oxide, silicon nitride, silicon carbide, silicon carbonitride, silicon oxycarbide, and silicon oxynitride. The method including supplying a polishing liquid to a polishing pad on a polishing platen, rotating the polishing platen, and thereby causing relative motion of the polishing pad and a surface to be polished of the body to be polished while in contact with each other for carrying out selective polishing of the second layer with respect to the first layer, and the polishing liquid including a colloidal silica particles, an organic acid, and an anionic surfactant.
    Type: Application
    Filed: November 12, 2014
    Publication date: March 12, 2015
    Applicant: FUJIFILM Corporation
    Inventor: Tetsuya KAMIMURA
  • Patent number: 8974691
    Abstract: A polishing composition for a silicon wafer and a rinsing composition for a silicon wafer according to the present invention contain a nonionic surfactant of a polyoxyethylene adduct. The HLB value of the polyoxyethylene adduct is 8 to 15. The weight-average molecular weight of the polyoxyethylene adduct is 1400 or less. The average number of moles of oxyethylene added in the polyoxyethylene adduct is 13 or less. The content of the polyoxyethylene adduct in each of the polishing composition and the rinsing composition is 0.00001 to 0.1% by mass.
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: March 10, 2015
    Assignee: Fujimi Incorporated
    Inventors: Kohsuke Tsuchiya, Shuhei Takahashi
  • Patent number: 8974561
    Abstract: A manufacturing method of a glass substrate for a magnetic disk is provided whereby nano pits and/or nano scratches cannot be easily produced in polishing a principal face of a glass substrate using a slurry containing zirconium oxide as an abrasive. The manufacturing method of a glass substrate for a magnetic disk includes, for instance, a polishing step of polishing a principal face of a glass substrate using a slurry containing, as an abrasive, zirconium oxide abrasive grains having monoclinic crystalline structures (M) and tetragonal crystalline structures (T).
    Type: Grant
    Filed: September 26, 2012
    Date of Patent: March 10, 2015
    Assignee: Hoya Corporation
    Inventors: Masanori Tamaki, Hiroki Nakagawa, Yoshihiro Tawara
  • Patent number: 8974680
    Abstract: A pattern forming method includes forming a coating film containing a hydrophilic first homopolymer having a first bonding group and a hydrophobic second homopolymer having a second bonding group capable of bonding with the first bonding group, forming a bond between the first and second bonding group to produce a block copolymer of the first and second homopolymers, and heating the coating film to microphase-separating the copolymer into a hydrophilic domain and a hydrophobic domain. The hydrophilic and hydrophobic domains are arranged alternately. The bond is broken, then selectively dissolving-removing either domain by a solvent to provide a polymer pattern of a remainder domain.
    Type: Grant
    Filed: March 21, 2012
    Date of Patent: March 10, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroki Tanaka, Ryosuke Yamamoto, Naoko Kihara
  • Patent number: 8974692
    Abstract: Provided are novel chemical mechanical polishing (CMP) slurry compositions for polishing copper substrates and method of using the CMP compositions. The CMP slurry compositions deliver superior planarization with high and tunable removal rates and low defects when polishing bulk copper layers of the nanostructures of IC chips. The CMP slurry compositions also offer the high selectivity for polishing copper relative to the other materials (such as Ti, TiN, Ta, TaN, and Si), suitable for through-silicon via (TSV) CMP process which demands high copper film removal rates.
    Type: Grant
    Filed: June 27, 2013
    Date of Patent: March 10, 2015
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Xiaobo Shi, Krishna Murella, James Allen Schlueter, Jae Ouk Choo
  • Patent number: 8969204
    Abstract: The present invention relates to a CMP slurry that is able to reduce dishing generation, when it is applied to polishing or planarization of silicon oxide layer, for example, and a polishing method. The CMP slurry includes a polishing abrasive, a linear anionic polymer, a compound including a phosphoric acid group, and water, and the ratio of CMP polishing speed to a silicon oxide layer: CMP polishing speed to a silicon nitride layer is 30:1 to 50:1.
    Type: Grant
    Filed: March 3, 2009
    Date of Patent: March 3, 2015
    Assignee: LG Chem, Ltd.
    Inventors: Jong-Pil Kim, Seung-Beom Cho, Jun-Seok Noh, Jang-Yul Kim
  • Patent number: 8969216
    Abstract: A method for single side texturing of a crystalline semiconductor substrate (10) comprises: providing a substrate (10), for example a semiconductor substrate, comprising a first surface (12) and a second surface (14) opposite to one another with respect to the substrate (10); providing a masking layer (21) with a random pattern on the first surface (12) of the substrate (10); and etching the substrate (10) in a polishing solution, thereby texturing the first surface (12) of the substrate (10) and polishing the second surface (14) in a single wet etching step.
    Type: Grant
    Filed: February 11, 2011
    Date of Patent: March 3, 2015
    Assignees: IMEC, Katholieke Universiteit Leuven, K.U. Leuven R&D
    Inventors: Victor Prajapati, Joachim John
  • Patent number: 8961807
    Abstract: Disclosed are a polishing composition and method of polishing a substrate. The composition has low-load (e.g., up to about 0.1 wt. %) of abrasive particles. The polishing composition also contains water and at least one anionic surfactant. In some embodiments, the abrasive particles are alpha alumina particles (e.g., coated with organic polymer). The polishing composition can be used, e.g., to polish a substrate of weak strength such as an organic polymer. An agent for oxidizing at least one of silicon and organic polymer is included in the composition in some embodiments.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: February 24, 2015
    Assignee: Cabot Microelectronics Corporation
    Inventors: Lin Fu, Steven Grumbine
  • Publication number: 20150044872
    Abstract: A method is disclosed for polishing a wafer with a slurry. In the method, the wafer comprises at least one of silicon carbonitride (SiCN) and silicon nitride (SiN), and further comprises one or both of silicon dioxide (SiO2) and poly silicon, and a removal rate of SiCN is greater than a removal rate of poly silicon, and the removal rate of poly silicon is greater than a removal rate of SiO2, and where the slurry comprises up to about 15 wt % of surface-modified colloidal silica particles which have a primary particle size of less than about 35 nm, and the surface-modified colloidal silica particles comprise a plurality of acid moieties or salts thereof.
    Type: Application
    Filed: August 6, 2014
    Publication date: February 12, 2015
    Applicant: FUJIMI INCORPORATED
    Inventors: Fusayo SAEKI, Hooi-Sung KIM
  • Publication number: 20150031205
    Abstract: Provided is a polishing method including a step of preparing a substrate having (1) silicon nitride as a stopper, and to a direction of a surface subjected to polishing from the stopper, (2) at least a portion of a wiring metal, and (3) at least a portion of an insulating material; a step of supplying a CMP slurry, and thereby polishing the (2) wiring metal and (3) insulating material on the direction of the surface subjected to polishing; and a step of stopping polishing before the (1) silicon nitride is exposed and completely removed, in which method the CMP slurry contains (A) a copolymer of (a) a monomer that is anionic and does not contain a hydrophobic substituent and (b) a monomer containing a hydrophobic substituent; (B) an abrasive grain; (C) an acid; (D) an oxidizing agent; and (E) a liquid medium, the component (B) has a zeta potential of +10 mV or more in the CMP slurry, and the copolymerization ratio (a):(b) of the component (A) is 25:75 to 75:25 as a molar ratio, with the pH being 5.0 or less.
    Type: Application
    Filed: March 12, 2013
    Publication date: January 29, 2015
    Applicant: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Kouji Mishima, Masato Fukasawa, Masaya Nishiyama
  • Publication number: 20150024596
    Abstract: The polishing agent of the invention comprises water, an abrasive grain containing a hydroxide of a tetravalent metal element, and a specific glycerin compound.
    Type: Application
    Filed: February 14, 2013
    Publication date: January 22, 2015
    Inventors: Hisataka Minami, Toshiaki Akutsu, Tomohiro Iwano, Koji Fujisaki
  • Publication number: 20150024595
    Abstract: The present invention provides a chemical mechanical polishing method for polishing a substrate comprising silicon dioxide, silicon nitride, and polysilicon. The method comprises abrading a surface of the substrate with a CMP composition to remove at least some silicon dioxide, silicon nitride and polysilicon therefrom. The CMP composition comprising a particulate ceria abrasive suspended in an aqueous carrier having a pH of about 3 to 9.5 and containing a cationic polymer; wherein the cationic polymer consists of a quaternary methacryloyloxyalkylammonium polymer.
    Type: Application
    Filed: July 22, 2013
    Publication date: January 22, 2015
    Inventors: Dimitry Dinega, Kevin Moeggenborg, William Ward, Daniel Mateja
  • Publication number: 20150017454
    Abstract: Chemical mechanical polishing composition is provided. The composition comprises (A) inorganic particles, organic particles, or a mixture or composite thereof, (B) a protein, and (C) an aqueous medium.
    Type: Application
    Filed: January 25, 2013
    Publication date: January 15, 2015
    Applicant: BASF SE
    Inventors: Yuzhuo Li, Bastian Marten Noller, Michael Lauter, Roland Lange
  • Publication number: 20150017806
    Abstract: The polishing agent of the invention comprises water, an abrasive grain containing a hydroxide of a tetravalent metal element, polyalkylene glycol, and at least one cationic polymer selected from the group consisting of allylamine polymers, diallylamine polymers, vinylamine polymers and ethyleneimine polymers.
    Type: Application
    Filed: February 14, 2013
    Publication date: January 15, 2015
    Inventors: Toshiaki Akutsu, Hisataka Minami, Tomohiro Iwano, Koji Fujisaki
  • Patent number: 8932479
    Abstract: Provided is a polishing liquid which is used for chemical mechanical polishing of a body to be polished having a layer containing polysilicon or a modified polysilicon, and using which the polishing rate of a layer containing a silicon-based material other than polysilicon is high and polishing of the layer containing polysilicon can be selectively suppressed. The polishing liquid includes components (A), (B), and (C), has a pH of from 1.5 to 7.0, and is capable of selectively polishing a second layer with respect to a first layer: (A) colloidal silica particles having a negative ? potential; (B) phosphoric acid or an organic phosphonic acid compound represented by the following Formula (1) or (2); and (C) an anionic surfactant having at least one group represented by the following Formulae (I) to (IV): R2—C(R3)3-a—(PO3H2)a??Formula (1): R4—N(R5)m—(CH2—PO3H2)n??Formula (2): —PO3X2??Formula (I): —OPO3X2??Formula (II): —COOX??Formula (III): —SO3X??Formula (IV).
    Type: Grant
    Filed: March 25, 2011
    Date of Patent: January 13, 2015
    Assignee: FUJIFILM Corporation
    Inventor: Tetsuya Kamimura
  • Patent number: 8932952
    Abstract: Disclosed is a method for polishing a silicon wafer, wherein a surface to be polished of a silicon wafer is rough polished, while supplying a polishing liquid, which is obtained by adding a water-soluble polymer to an aqueous alkaline solution that contains no free abrasive grains, to a polishing cloth. Consequently, the surface to be polished can be polished at high polishing rate and the flatness of the edge portion including roll-off and roll-up can be controlled.
    Type: Grant
    Filed: March 23, 2011
    Date of Patent: January 13, 2015
    Assignee: Sumco Corporation
    Inventors: Shinichi Ogata, Ryuichi Tanimoto, Ichiro Yamasaki, Shunsuke Mikuriya
  • Patent number: 8926859
    Abstract: A polishing composition for a silicon wafer includes a macromolecular compound, an abrasive, and an aqueous medium. The macromolecular compound includes a constitutional unit (a1) represented by the following general formula (1), a constitutional unit (a2) represented by the following general formula (2), and a constitutional unit (a3) represented by the following general formula (3). The total of the constitutional unit (a3) is 0.001 to 1.5 mol % of all the constitutional units of the macromolecular compound.
    Type: Grant
    Filed: July 5, 2010
    Date of Patent: January 6, 2015
    Assignee: Kao Corporation
    Inventors: Masahiko Suzuki, Mami Okamura, Toshiaki Oi
  • Publication number: 20150004788
    Abstract: Provided are novel chemical mechanical polishing (CMP) slurry compositions for polishing copper substrates and method of using the CMP compositions. The CMP slurry compositions deliver superior planarization with high and tunable removal rates and low defects when polishing bulk copper layers of the nanostructures of IC chips. The CMP slurry compositions also offer the high selectivity for polishing copper relative to the other materials (such as Ti, TiN, Ta, TaN, and Si), suitable for through-silicon via (TSV) CMP process which demands high copper film removal rates.
    Type: Application
    Filed: June 27, 2013
    Publication date: January 1, 2015
    Inventors: Xiaobo SHI, Krishna MURELLA, James Allen SCHLUETER, Jae Ouk CHOO
  • Patent number: 8921231
    Abstract: The present invention discloses a group III nitride wafer such as GaN, AlN, InN and their alloys having one surface visually distinguishable from the other surface. After slicing of the wafer from a bulk crystal of group III nitride with a mechanical method such as multiple wire saw, the wafer is chemically etched so that one surface of the wafer is visually distinguishable from the other surface. The present invention also discloses a method of producing such wafers.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: December 30, 2014
    Assignees: SixPoint Materials, Inc., Seoul Semiconductor Co., Ltd.
    Inventors: Tadao Hashimoto, Edward Letts, Sierra Hoff
  • Patent number: 8920571
    Abstract: The present invention includes methods and materials for cleaning materials, particles, or chemicals from a substrate with a brush or pad. The method comprising: engaging a surface of a rotating wafer with an outer circumferential surface of a rotating cylindrical foam roller, the cylindrical foam roller having a plurality of circumferentially and outwardly extending spaced apart nodules extending from the outer surface, each nodule defining a height extending from the outer surface of the cylindrical foam roller to a substrate engagement surface of the nodule, the substrate engagement surface of one or more of the nodules having a rounded configuration; and positioning the cylindrical foam roller on the substrate such that the one or more nodules are positioned to have only the rounded substrate engagement surface contact the substrate such that no linear surface of the one or more nodules contacts the substrate.
    Type: Grant
    Filed: September 17, 2013
    Date of Patent: December 30, 2014
    Assignee: Entegris, Inc.
    Inventor: Briant Enoch Benson
  • Patent number: 8921229
    Abstract: A method of polishing copper wiring surfaces of in ultra large scale integrated circuit, the method including: a) preparing a polishing solution including between 35 and 80 w. % of a nano SiO2 abrasive, between 12 and 60 w. % of deionized water, between 1 and 3 w. % of an oxidant, between 1 and 4 w. % of an active agent, and between 0.5 and 1.5 w. % of a chelating agent; and b) polishing using the polishing solution under following conditions: between 2 and 5 kPa pressure; between 20 and 50° C.; between 120 and 250 mL/min slurry flow rate; and at between 30 and 60 rpm/min rotational speed.
    Type: Grant
    Filed: August 22, 2012
    Date of Patent: December 30, 2014
    Inventors: Yuling Liu, Xiaoyan Liu, Jun Tian
  • Publication number: 20140377954
    Abstract: Provided is a method of planarizing a semiconductor device. A dielectric layer is formed over a substrate. A plurality of openings is formed in the dielectric layer. The openings have varying distribution densities. The openings are filled with a metal material. A first chemical-mechanical-polishing (CMP) process is performed to remove portions of the metal material over the dielectric layer. Thereafter, a sacrificial layer is formed over the dielectric layer and the metal material. The sacrificial layer has a planar surface. The sacrificial layer is formed through one of: a spin-on process or a flowable chemical vapor deposition (FCVD) process. A second CMP process is then performed to remove the sacrificial layer and portions of the dielectric layer and the metal material therebelow. The second CMP process uses a slurry configured to have a substantially similar polishing selectivity between the sacrificial layer, the dielectric layer, and the metal material.
    Type: Application
    Filed: September 5, 2014
    Publication date: December 25, 2014
    Inventors: Hsin-Hsien Lu, Chang-Sheng Lin
  • Publication number: 20140377887
    Abstract: A method for planarizing semiconductor devices, wherein the method comprises steps as follows: At least one patterned metal layer is formed on a substrate. A material layer having a first area and a second area is provided on the patterned metal layer and the substrate, in which there is a step height existing between the first area and the second area. A first polishing process having a first selection ratio of relative speeds for removing the material layer at the first area to that at the second area is then performed on the material layer. Subsequently, a second polishing process having a second selection ratio of relative speeds for removing the material layer at the first area to that at the second area is performed on the material layer, and the second selection ratio is greater than the first selection ratio.
    Type: Application
    Filed: June 19, 2013
    Publication date: December 25, 2014
    Inventors: Yi-Ching WU, Tzu-Hung Yang, Chih-Chung Wu
  • Patent number: 8916061
    Abstract: The invention relates to a chemical-mechanical polishing composition comprising a ceria abrasive, cations of one or more lanthanide metals, one or more nonionic polymers, water, and optionally one or more additives. The invention further relates to a method of chemically-mechanically polishing a substrate with the inventive chemical-mechanical polishing composition. Typically, the substrate comprises one or more of silicon oxide, silicon nitride, and polysilicon.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: December 23, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Brian Reiss, Michael Willhoff, Daniel Mateja
  • Patent number: 8916473
    Abstract: An effective chemical mechanical planarization (CMP) method is provided for forming vias in silicon wafers for the fabrication of stacked devices using TSV (through-silicon via) technology. The method affords high removal rates of both metal (e.g., copper) and silicon such that a need for a grinding step prior to CMP processing may not be necessary. The method affords an approximately 1:1 Cu:Si selectivity for removal of silicon and copper under appropriate conditions and the Cu:Si selectivity is tunable by adjustment of levels of some key components.
    Type: Grant
    Filed: December 3, 2010
    Date of Patent: December 23, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: James Matthew Henry, Daniel Hernandez Castillo, II
  • Publication number: 20140370707
    Abstract: The present invention relates to a metal polishing liquid for polishing at least a part of metal in a substrate having the metal, comprising, component A: a metal solubilizer containing amino acids, component B: compounds having the benzotriazole skeleton, and component C: an acrylic acid polymer having the weight average molecular weight of 10,000 or more, and having the mass ratio between the component B and the component C, (component B:component C), to be 1:1 to 1:5. Use of the metal polishing liquid can simultaneously yield high polishing rates and low etching rates at higher level, enabling to form an embedded pattern with higher reliability.
    Type: Application
    Filed: January 29, 2013
    Publication date: December 18, 2014
    Inventors: Yasuhiro Ichige, Kouji Haga, Seiichi Kondo
  • Patent number: 8912095
    Abstract: A polishing method and a polishing apparatus finish a surface of a substrate of a compound semiconductor containing an element such as Ga or the like to a desired level of flatness, so that the surface can be flattened with high surface accuracy within a practical processing time. In the presence of water, such as weak acid water, water with air dissolved therein, or electrolytic ion water, the surface of the substrate made of a compound semiconductor containing either one of Ga, Al, and In and a surface of a polishing pad having an electrically conductive member in an area of the surface which is held in contact with the substrate) are relatively moved while being held in contact with each other, thereby polishing the surface of the substrate.
    Type: Grant
    Filed: December 14, 2010
    Date of Patent: December 16, 2014
    Assignees: Osaka University, Ebara Corporation
    Inventors: Yasuhisa Sano, Kazuto Yamauchi, Junji Murata, Takeshi Okamoto, Shun Sadakuni, Keita Yagi
  • Publication number: 20140363973
    Abstract: The CMP polishing liquid containing a medium and silica particles as an abrasive grain dispersed into the medium. The silica particles have a silanol group density of 5.0/nm2 or less and the biaxial average primary particle diameter when arbitrary 20 silica particles are selected from an image obtained by scanning electron microscope observation is 25 to 55 nm. The association degree of the silica particles is 1.1 or more. The CMP polishing liquid has the high barrier film polishing speed, the favorable abrasive grain dispersion stability, and the high interlayer dielectric polishing speed. The CMP polishing liquid can provide a method of producing semiconductor substrates or the like, that have excellent microfabrication, thin film formation, dimension accuracy, electric property and high reliability with low cost.
    Type: Application
    Filed: August 26, 2014
    Publication date: December 11, 2014
    Applicant: HITACHI CHEMICAL COMPANY., LTD.
    Inventors: Mamiko Kanamaru, Tomokazu Shimada, Takashi Shinoda
  • Patent number: 8906252
    Abstract: The invention provides a chemical-mechanical polishing composition containing a ceria abrasive, an ionic polymer of formula I: wherein X1 and X2, Z1 and Z2, R2, R3, and R4, and n are as defined herein, and water, wherein the polishing composition has a pH of about 1 to about 4.5. The invention further provides a method of chemically-mechanically polishing a substrate with the inventive chemical-mechanical polishing composition. Typically, the substrate contains silicon oxide, silicon nitride, and/or polysilicon.
    Type: Grant
    Filed: May 21, 2013
    Date of Patent: December 9, 2014
    Assignee: Cabot Microelelctronics Corporation
    Inventors: Kevin P. Dockery, Renhe Jia, Jeffrey Dysard
  • Patent number: 8900473
    Abstract: The CMP polishing liquid of the present invention contains 1,2,4-triazole, a phosphoric acid, an oxidant, and abrasive particles. The polishing method of the present invention is a substrate polishing method for polishing a substrate with a polishing cloth while supplying a CMP polishing liquid between the substrate and the polishing cloth, in which the substrate is a substrate having a palladium layer, and the CMP polishing liquid is a CMP polishing liquid containing 1,2,4-triazole, a phosphoric acid, an oxidant, and abrasive particles.
    Type: Grant
    Filed: July 23, 2009
    Date of Patent: December 2, 2014
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Hisataka Minami, Ryouta Saisyo, Hiroshi Ono
  • Patent number: 8900477
    Abstract: Provided are a metal-polishing liquid that comprises an oxidizing agent, an oxidized-metal etchant, a protective film-forming agent, a dissolution promoter for the protective film-forming agent, and water; a method for producing it; and a polishing method of using it. Also provided are materials for the metal-polishing liquid, which include an oxidized-metal etchant, a protective film-forming agent, and a dissolution promoter for the protective film-forming agent.
    Type: Grant
    Filed: January 17, 2008
    Date of Patent: December 2, 2014
    Assignees: Hitachi, Ltd., Hitachi Chemical Company, Ltd.
    Inventors: Takeshi Uchida, Tetsuya Hoshino, Hiroki Terazaki, Yasuo Kamigata, Naoyuki Koyama, Yoshio Honma, Seiichi Kondoh
  • Patent number: 8901003
    Abstract: A polishing method of a semiconductor device is disclosed. A substrate having a first side and a second side opposite to the first side is provided. The substrate has a device layer formed on the first side and a plurality of trench isolation structures therein extending from the first side to the second side. A main polishing step is performed to the second side of the substrate until a surface of at least one of the trench isolation structures is exposed. An auxiliary polishing step is then performed to the second side of the substrate. Besides, a silicon-to-oxide selectivity of the main polishing step is different from a silicon-to-oxide selectivity of the auxiliary step.
    Type: Grant
    Filed: September 9, 2013
    Date of Patent: December 2, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Ji-Gang Pan, Han-Chuan Fang, Boon-Tiong Neo
  • Publication number: 20140349483
    Abstract: The invention provides a chemical-mechanical polishing composition containing a ceria abrasive and a polymer of formula I: wherein X1 and X2, Y1 and Y2, Z1 and Z2, R1, R2, R3, and R4, and m are as defined herein, and water, wherein the polishing composition has a pH of about 1 to about 4.5. The invention further provides a method of chemically-mechanically polishing a substrate with the inventive chemical-mechanical polishing composition. Typically, the substrate contains silicon oxide, silicon nitride, and/or polysilicon.
    Type: Application
    Filed: May 29, 2014
    Publication date: November 27, 2014
    Inventors: Tina LI, Kevin Dockery, Renhe Jia, Jeffrey Dysard
  • Publication number: 20140349484
    Abstract: A polishing composition of the present invention is to be used for polishing an object including a metal portion or an interlayer insulation film. The polishing composition contains silica on which an organic acid, such as a sulfonic acid and a carboxylic acid, is immobilized and an oxidizing agent.
    Type: Application
    Filed: December 25, 2012
    Publication date: November 27, 2014
    Inventors: Shuugo Yokota, Yoshihiro Kachi, Tomoiko Akatsuka
  • Publication number: 20140342561
    Abstract: A polishing composition of the present invention is to be used for polishing an object including a portion containing a group III-V compound material. The polishing composition contains abrasive grains, an oxidizing agent, and a water-soluble polymer. When the polishing composition is left to stand for one day in an environment with a temperature of 25° C., the water-soluble polymer may be adsorbed on the abrasive grains at 5,000 or more molecules per 1 ?m2 of the surface area of the abrasive grains. Alternatively, the water-soluble polymer may be a compound that reduces the water contact angle of the portion containing a group III-V compound material of the object after being polished with the polishing composition.
    Type: Application
    Filed: November 21, 2012
    Publication date: November 20, 2014
    Inventors: Shuugo Yokota, Yasuyuki Yamato, Satoru Yarita, Tomohiko Akatsuka
  • Publication number: 20140342562
    Abstract: A polishing composition of the present invention is to be used for polishing an object including a portion containing a high-mobility material and a portion containing a silicon material. The polishing composition comprises odd-shaped abrasive grains and an oxidizing agent having a standard electrode of 0.3 V or more, and preferably further contains a salt, such as an ammonium salt. The pH of the polishing composition is 1 or more and 6 or less, or 8 or more and 14 or less. The average degree of association of the abrasive grains, obtained by dividing the value of the average secondary particle diameter of the abrasive grains by the value of the average primary particle diameter of the abrasive grains, is preferably 1.6 or more.
    Type: Application
    Filed: November 21, 2012
    Publication date: November 20, 2014
    Inventors: Shuugo Yokota, Yasuyuki Yamato, Satoru Yarita, Tomohiko Akatsuka, Shuichi Tamada
  • Patent number: 8889553
    Abstract: A method for polishing Through-Silicon Via (TSV) wafers is provided. The method comprises a step of subjecting the surface of a TSV wafer to a polishing treatment with a polishing composition containing an organic alkaline compound, an oxidizing agent selected from sodium chlorite and/or potassium bromate, silicon oxide abrasive particles, and a solvent to simultaneously remove Si and conductive materials at their respective removal rates. By using the method of this invention, Si and conductive materials can be simultaneously polished at higher removal rates to significantly save the necessary working-hour costs for polishing TSV wafers. A polishing composition used in the above method is also provided.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: November 18, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Kang-Hua Lee, Wen-Cheng Liu
  • Patent number: 8889555
    Abstract: A polishing agent for copper polishing, comprising (A) an inorganic acid with divalent or greater valence, (B) an amino acid, (C) a protective film-forming agent, (D) an abrasive, (E) an oxidizing agent and (F) water, wherein the content of the component (A) is at least 0.08 mol/kg, the content of the component (B) is at least 0.20 mol/kg, the content of the component (C) is at least 0.02 mol/kg, and either or both of the following conditions (i) and (ii) are satisfied. (i): The proportion of the content of the component (A) with respect to the content of the component (C) is 2.00 or greater. (ii): It further comprises (G) at least one kind selected from among organic acids and their acid anhydrides.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: November 18, 2014
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Hiroshi Ono, Takashi Shinoda, Yuuhei Okada
  • Patent number: 8883031
    Abstract: The CMP polishing liquid containing a medium and silica particles as an abrasive grain dispersed into the medium. The silica particles have a silanol group density of 5.0/nm2 or less and the biaxial average primary particle diameter when arbitrary 20 silica particles are selected from an image obtained by scanning electron microscope observation is 25 to 55 nm. The association degree of the silica particles is 1.1 or more. The CMP polishing liquid has the high barrier film polishing speed, the favorable abrasive grain dispersion stability, and the high interlayer dielectric polishing speed. The CMP polishing liquid can provide a method of producing semiconductor substrates or the like, that have excellent microfabrication, thin film formation, dimension accuracy, electric property and high reliability with low cost.
    Type: Grant
    Filed: August 16, 2010
    Date of Patent: November 11, 2014
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Mamiko Kanamaru, Tomokazu Shimada, Takashi Shinoda
  • Patent number: 8883034
    Abstract: The invention provides a polishing composition comprising (a) silica, (b) one or more compounds that increases the removal rate of silicon, (c) one or more tetraalkylammonium salts, and (d) water, wherein the polishing composition has a pH of about 7 to about 11. The invention further provides a method of polishing a substrate with the polishing composition.
    Type: Grant
    Filed: September 16, 2009
    Date of Patent: November 11, 2014
    Inventors: Brian Reiss, John Clark, Lamon Jones, Jeffrey Gilliland, Michael White
  • Patent number: 8883020
    Abstract: Greater planarity is achieved between surfaces of a conductive structure and a layer within which the conductive structure resides. A portion of the conductive structure protruding above the surface of the layer is selectively oxidized, at least in part, to form an oxidized portion. The oxidized portion is then removed, at least partially, to facilitate achieving greater planarity. The protruding portions may optionally be formed by selectively disposing conductive material over the conductive structure, when that the conductive structure is initially recessed below the surface of the layer. A further embodiment includes selectively oxidizing a portion of the conductive structure below the surface of the layer, removing at least some of the oxidized portion so that an upper surface of the conductive structure is below the upper surface of the layer, and planarizing the upper surface of the layer to the upper surface of the conductive structure.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: November 11, 2014
    Assignee: Globalfoundries, Inc.
    Inventors: Xunyuan Zhang, Xiuyu Cai
  • Patent number: 8877643
    Abstract: This invention is to provide a method of polishing a silicon wafer wherein a high flatness can be attained likewise the conventional polishing method and further the occurrence of defects due to the remaining of substances included in the polishing solution on the surface of the wafer can be suppressed as well as a polished silicon wafer. The method of polishing a silicon wafer by supplying a polishing solution containing abrasive grains onto a surface of a polishing pad and then relatively sliding the polishing pad to a silicon wafer to polish the surface of the silicon wafer, is characterized in that the number of abrasive grains included in the polishing solution is controlled to not more than 5×1013 grains/cm3.
    Type: Grant
    Filed: May 28, 2010
    Date of Patent: November 4, 2014
    Assignee: Sumco Corporation
    Inventors: Shuhei Matsuda, Tetsuro Iwashita, Ryuichi Tanimoto, Takeru Takushima, Takeo Katoh
  • Patent number: 8877083
    Abstract: A Ultra-Violet (UV) treatment is performed on an exposed surface of a low-k dielectric layer and an exposed surface of a metal line. After the UV treatment, an organo-metallic soak process is performed on the exposed surface of the low-k dielectric layer and the exposed surface of the metal line. The organo-metallic soak process is performed using a process gas including a metal bonded to an organic group.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: November 4, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Cheng Chou, Mei-Ling Chen, Hui-Chun Yang, Po-Cheng Shih, Joung-Wei Liou, Shwang-Ming Jeng
  • Publication number: 20140315386
    Abstract: Solid metal compound coated colloidal particles are made through a process by coating metal compounds onto colloidal particle surfaces. More specifically, metal compound precursors react with the base solution to form solid metal compounds. The solid metal compounds are deposited onto the colloidal particle surfaces through bonding. Excess ions are removed by ultrafiltration to obtain the stable metal compound coated colloidal particle solutions. Chemical mechanical polishing (CMP) polishing compositions using the metal compound coated colloidal particles prepared by the process as the solid state catalyst, or as both catalyst and abrasive, provide uniform removal profiles across the whole wafer.
    Type: Application
    Filed: March 25, 2014
    Publication date: October 23, 2014
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Hongjun Zhou, Xiaobo Shi, James A. Schlueter, Jo-Ann T. Schwartz
  • Patent number: 8865013
    Abstract: A method for chemical mechanical polishing of a substrate comprising tungsten using a nonselective chemical mechanical polishing composition.
    Type: Grant
    Filed: August 15, 2011
    Date of Patent: October 21, 2014
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, Jerry Lee, Raymond L. Lavoie, Jr., Guangyun Zhang