Utilizing Particulate Abradant Patents (Class 438/693)
  • Publication number: 20140094032
    Abstract: A polishing agent for polishing a non-oxide single-crystal substrate such as a silicon carbide single-crystal substrate with a high polishing rate to obtain a smooth surface is provided. This polishing agent comprises an oxidant having redox potential of 0.5 V or more and containing a transition metal, silicon oxide particles, cerium oxide particles and a dispersion medium, in which a mass ratio of the silicon oxide particles to the cerium oxide particles is from 0.2 to 20.
    Type: Application
    Filed: December 3, 2013
    Publication date: April 3, 2014
    Applicant: ASAHI GLASS COMPANY, LIMITED
    Inventors: Iori YOSHIDA, Satoshi TAKEMIYA, Hiroyuki TOMONAGA
  • Patent number: 8684793
    Abstract: A method for chemical mechanical planarization of ruthenium is provided. A semiconductor substrate comprising ruthenium is contacted with a chemical mechanical polishing system comprising an oxidizing particle, an abrasive, a polishing pad and a liquid carrier. The pH of the polishing composition is about 8 to 12. A high ruthenium removal rate for the inventive slurry was observed. The disclosed oxidizing particle advantageously improves the polishing speed of ruthenium under low polishing pressure and decreases the scratches generated on low-k material.
    Type: Grant
    Filed: May 6, 2010
    Date of Patent: April 1, 2014
    Assignee: BASF SE
    Inventors: Yuzhuo Li, Karpagavalli Ramji
  • Patent number: 8685857
    Abstract: There is disclosed a chemical mechanical polishing method of an organic film comprising forming the organic film above a semiconductor substrate, contacting the organic film formed above the semiconductor substrate with a polishing pad attached to a turntable, and dropping a slurry onto the polishing pad to polish the organic film, the slurry being selected from the group consisting of a first slurry and a second slurry, the first slurry comprising a resin particle having a functional group selected from the group consisting of an anionic functional group, a cationic functional group, an amphoteric functional group and a nonionic functional group, and having a primary particle diameter ranging from 0.05 to 5 ?m, the first slurry having a pH ranging from 2 to 8, and the second slurry comprising a resin particle having a primary particle diameter ranging from 0.05 to 5 ?m, and a surfactant having a hydrophilic moiety.
    Type: Grant
    Filed: October 24, 2008
    Date of Patent: April 1, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yukiteru Matsui, Gaku Minamihaba, Yoshikuni Tateyama, Hiroyuki Yano, Atsushi Shigeta
  • Patent number: 8679980
    Abstract: (A) solid polymer particles being finely dispersed in the aqueous phase and containing pendant functional groups (a1) capable of strongly interacting and forming strong complexes with the metal of the surfaces to be polished, and pendant functional groups (a2) capable of interacting less strongly with the metal of the surfaces to be polished than the functional groups (a1); and (B) an organic non-polymeric compound dissolved in the aqueous phase and capable of interacting and forming strong, water-soluble complexes with the metal of the surfaces to be polished and causing an increase of the material removal rate MRR and the static etch rate SER of the metal surfaces to be polished with increasing concentration of the compound (B); a CMP process comprising selecting (A) and (B) and the use of the CMP agent and process for polishing wafers with ICs.
    Type: Grant
    Filed: April 19, 2010
    Date of Patent: March 25, 2014
    Assignee: BASF SE
    Inventors: Vijay Immanuel Raman, Ilshat Gubaydullin, Yuzhuo Li, Mario Brands, Yongqing Lan
  • Patent number: 8673783
    Abstract: The present disclosure provides a method of fabricating a semiconductor device, a semiconductor device fabricated by such a method, and a chemical mechanical polishing (CMP) tool for performing such a method. In one embodiment, a method of fabricating a semiconductor device includes providing an integrated circuit (IC) wafer including a metal conductor in a trench of a dielectric layer over a substrate, and performing a chemical mechanical polishing (CMP) process to planarize the metal conductor and the dielectric layer. The method further includes cleaning the planarized metal conductor and dielectric layer to remove residue from the CMP process, rinsing the cleaned metal conductor and dielectric layer with an alcohol, and drying the rinsed metal conductor and dielectric layer in an inert gas environment.
    Type: Grant
    Filed: July 2, 2010
    Date of Patent: March 18, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Huang Soon Kang, Han-Hsin Kuo, Chi-Ming Yang, Shwang-Ming Jeng, Chin-Hsiang Lin
  • Publication number: 20140065826
    Abstract: Provided are a polishing slurry for metal films and a polishing method which restrain the generation of erosion and seams, and makes the flatness of a surface polished therewith or thereby high. The slurry and the method are a polishing slurry, for metal films, comprising abrasive grains, a methacrylic acid based polymer and water, and a polishing method using the slurry, respectively.
    Type: Application
    Filed: November 13, 2013
    Publication date: March 6, 2014
    Applicant: HITACHI CHEMICAL CO., LTD.
    Inventors: Takaaki Tanaka, Masato Fukasawa, Shigeru Nobe, Takafumi Sakurada, Takashi Shinoda
  • Publication number: 20140057439
    Abstract: A method of forming interlayer dielectric comprising the steps of forming a first undoped layer, forming in-situ and sequentially a doped layer and a second undoped layer on the first undoped layer, and planarizing the second undoped layer.
    Type: Application
    Filed: August 21, 2012
    Publication date: February 27, 2014
    Inventors: JIANDONG ZHANG, Han Chuan Fang, jianjun Zhang, Xiaowei Shu, MIAO ZHANG
  • Publication number: 20140051250
    Abstract: A CMP polishing liquid comprises water and an abrasive particle, wherein the abrasive particle comprises a composite particle having a core including a first particle, and a second particle provided on the core, the first particle contains silica, the second particle contains cerium hydroxide, and the pH of the CMP polishing liquid is equal to or lower than 9.5.
    Type: Application
    Filed: January 20, 2012
    Publication date: February 20, 2014
    Applicant: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Hisataka Minami, Keisuke Inoue, Chisato Kikkawa, Yutaka Nomura, Tomohiro Iwano
  • Patent number: 8652295
    Abstract: A chemical mechanical polishing (CMP) apparatus includes a process controller operable to execute a multi-step CMP algorithm implementing delivering a first chemical composition onto the wafer surface while on a platen for a first time duration, and without removing the wafer from the platen, delivering a second chemical composition different from the first chemical composition onto the wafer surface for a second time duration. CMP is performed with a polishing pad contacting the wafer surface using a slurry including the first chemical composition during the first time duration or the second chemical composition during the second time duration, and a non-polishing process without any contact of the polishing pad to the wafer surface using the other of the first and second chemical composition during the other of the time durations, and repeating the multi-step CMP comprising process a plurality of times on the wafer.
    Type: Grant
    Filed: July 1, 2013
    Date of Patent: February 18, 2014
    Assignee: Sinmat, Inc.
    Inventors: Rajiv Singh, Deepika Singh, Abhudaya Mishra
  • Patent number: 8647985
    Abstract: Semiconductor material substrates are polished by a method including at least one polishing step A by means of which the substrate is polished on a polishing pad containing an abrasive material bonded in the polishing pad and a polishing agent solution is introduced between the substrate and the polishing pad during the polishing step; and at least one polishing step B by means of which the substrate is polished on a polishing pad containing an abrasive material-containing polishing pad and wherein a polishing agent slurry containing unbonded abrasive material is introduced between the substrate and the polishing pad during the polishing step.
    Type: Grant
    Filed: July 2, 2008
    Date of Patent: February 11, 2014
    Assignee: Siltronic AG
    Inventors: Juergen Schwandner, Thomas Buschhardt, Roland Koppert, Georg Pietsch
  • Patent number: 8637404
    Abstract: The invention provides methods for planarizing or polishing a metal surface. The method comprises a composition comprising an abrasive, cesium ions, and a liquid carrier comprising water.
    Type: Grant
    Filed: November 23, 2010
    Date of Patent: January 28, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Phillip W. Carter, Shoutian Li
  • Patent number: 8637405
    Abstract: A method of texturing a surface of a crystalline silicon substrate is provided. The method includes immersing a crystalline silicon substrate into an aqueous alkaline etchant solution to form a pyramid shaped textured surface, with (111) faces exposed, on the crystalline silicon substrate. The aqueous alkaline etchant solution employed in the method of the present disclosure includes an alkaline component and a nanoparticle slurry component. Specifically, the aqueous alkaline etchant solution of the present disclosure includes 0.5 weight percent to 5 weight percent of an alkaline component and from 0.1 weight percent to 5 weight percent of a nanoparticle slurry on a dry basis.
    Type: Grant
    Filed: June 21, 2011
    Date of Patent: January 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Mahadevaiyer Krishnan, Jun Liu, Satyavolu S. Papa Rao, George G. Totir
  • Patent number: 8633111
    Abstract: A composition for polishing surfaces comprises the following components: a) at least one inorganic abrasive component (S) comprising a lanthanide oxide, b) at least one organic dispersing-agent component based on polymer (P), c) at least one organic gelling agent (G) such as gellan gum, d) water as solution or dispersing medium, and e) if appropriate further auxiliary and additive materials and has high stability.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: January 21, 2014
    Assignee: BASF SE
    Inventors: Sven Holger Behrens, Yaqian Liu, Günter Kern, Heidrun Debus
  • Patent number: 8632693
    Abstract: To provide a wetting agent for semiconductors and a polishing composition whereby the wettability of a semiconductor substrate surface can be improved, and microdefects such as particle attachments can be remarkably reduced. A wetting agent for semiconductors, comprising a water soluble polymer compound having a low viscosity and water, and a polishing composition. A 0.3 wt % aqueous solution of the water soluble polymer compound has a viscosity of less than 10 mPa·s at 25° C.
    Type: Grant
    Filed: July 1, 2009
    Date of Patent: January 21, 2014
    Assignee: Fujimi Incorporated
    Inventors: Hitoshi Morinaga, Shuhei Takahashi, Shogaku Ide, Tomohiro Imao, Naoyuki Ishihara
  • Publication number: 20140017892
    Abstract: The present invention provides an acidic aqueous polishing composition suitable for polishing a silicon nitride-containing substrate in a chemical-mechanical polishing (CMP) process. The composition, at point of use, preferably comprises about 0.01 to about 2 percent by weight of at least one particulate ceria abrasive, about 10 to about 1000 ppm of at least one non-polymeric unsaturated nitrogen heterocycle compound, 0 to about 1000 ppm of at least one cationic polymer, optionally, 0 to about 2000 ppm of at least one polyoxyalkylene polymer, and an aqueous carrier therefor. The cationic polymer preferably is selected from a poly(vinylpyridine) polymer, a quaternary ammonium-substituted acrylate polymer, a quaternary ammonium-substituted methacrylate polymer, or a combination thereof. Methods of polishing substrates and of selectively removing silicon nitride from a substrate in preference to removal of polysilicon using the compositions are also provided.
    Type: Application
    Filed: July 11, 2012
    Publication date: January 16, 2014
    Inventor: William WARD
  • Publication number: 20140017893
    Abstract: Disclosed is a CMP polishing liquid for polishing a substrate having a layer containing ruthenium, comprising: an oxidizing agent; polishing particles; water; and a compound having a structure represented by the following Formula (1), or a salt thereof. This CMP liquid is improved in at least the polishing rate to a ruthenium layer when compared with conventional polishing liquid. Also disclosed is a method for polishing a substrate using such a CMP polishing liquid.
    Type: Application
    Filed: September 18, 2013
    Publication date: January 16, 2014
    Applicant: Hitachi Chemical Company, Ltd.
    Inventors: Hisataka MINAMI, Hiroshi Ono, Jin Amanokura
  • Publication number: 20140011362
    Abstract: A chemical mechanical polishing (CMP) composition (Q) comprising (A) Inorganic particles, organic particles, or a mixture or composite thereof, wherein the particles are cocoon-shaped (B) a non-ionic surfactant, (C) an aromatic compound comprising at least one acid group (Y), or a salt thereof, and (M) an aqueous medium.
    Type: Application
    Filed: July 5, 2013
    Publication date: January 9, 2014
    Applicant: BASF SE
    Inventors: Robert REICHARDT, Yuzhuo LI, Michael LAUTER
  • Publication number: 20140011361
    Abstract: A chemical mechanical polishing (CMP) composition (Q) comprising (A) Inorganic particles, organic particles, or a mixture or composite thereof, wherein the particles are cocoon-shaped (B) a non-ionic surfactant, (C) a carbonate or hydrogen carbonate salt, (D) an alcohol, and (M) an aqueous medium.
    Type: Application
    Filed: July 2, 2013
    Publication date: January 9, 2014
    Inventors: Robert REICHARDT, Yuzhou LI, Michael LAUTER, Wei Lan CHIU
  • Publication number: 20140008768
    Abstract: A semiconductor wafer having sag formed at an outer periphery at the time of polishing, wherein a displacement of the semiconductor wafer in a thickness direction is 100 nm or less between a center and a outer peripheral sag start position of the semiconductor wafer, and the center of the semiconductor wafer has a convex shape, an amount of outer peripheral sag of the semiconductor wafer is 100 nm or less, and the outer peripheral sag start position is away from an outer peripheral portion of the semiconductor wafer toward the center or 20 mm or more away from an outer peripheral end of the semiconductor wafer toward the center, the outer peripheral portion being a measurement target of ESFQR.
    Type: Application
    Filed: April 3, 2012
    Publication date: January 9, 2014
    Applicant: SHIN-ETSU HANDOTAI CO., LTD.
    Inventor: Michito Sato
  • Patent number: 8623766
    Abstract: The invention provides a chemical-mechanical polishing composition comprising coated ?-alumina particles, an organic carboxylic acid, and water. The invention also provides a chemical-mechanical polishing composition comprising an abrasive having a negative zeta potential in the polishing composition, an organic carboxylic acid, at least one alkyldiphenyloxide disulfonate surfactant, and water, wherein the polishing composition does not further comprise a heterocyclic compound. The abrasive is colloidally stable in the polishing composition. The invention further provides methods of polishing a substrate with the aforesaid polishing compositions.
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: January 7, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Ji Cui, Steven Grumbine, Glenn Whitener, Chih-An Lin
  • Patent number: 8623767
    Abstract: The invention provides compositions and methods for planarizing or polishing a substrate. The composition comprises an abrasive consisting of alumina particles optionally treated with a polymer, an ?-hydroxycarboxylic acid, an oxidizing agent that oxidizes at least one metal, polyacrylic acid, optionally, a calcium-containing compound, optionally, a biocide, optionally, a pH adjusting agent, and water. The method uses the composition to chemically-mechanically polish a substrate.
    Type: Grant
    Filed: March 18, 2013
    Date of Patent: January 7, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Vlasta Brusic, Christopher Thompson, Jeffrey Dysard
  • Publication number: 20140004703
    Abstract: A chemical-mechanical polishing (CMP) composition comprising (A) inorganic particles, organic particles, or a composite or mixture thereof, (B) a polymeric polyamine or a salt thereof comprising at least one type of pendant group (Y) which comprises at least one moiety (Z), wherein (Z) is a carboxylate (—COOR1), sulfonate (—SO3R2), sulfate (—O—SO3R3), phosphonate (—P(?O) (OR4)(OR5)), phosphate (—O—P(?O)(OR6)(OR7)), carboxylic acid (—COOH), sulfonic acid (—SO3H), sulfuric acid (—O—SO3—), phosphonic acid (—P(?O)(OH)2), phosphoric acid (—O—P(?O)(OH)2) moiety, or their deprotonated forms, R1 is alkyl, aryl, alkylaryl, or arylalkyl R2 is alkyl, aryl, alkylaryl, or arylalkyl, R3 is alkyl, aryl, alkylaryl, or arylalkyl, R4 is alkyl, aryl, alkylaryl, or arylalkyl, R5 is H, alkyl, aryl, alkylaryl, or arylalkyl, R6 is alkyl, aryl, alkylaryl, or arylalkyl, R7 is H, alkyl, aryl, alkylaryl, or arylalkyl, and (C) an aqueous medium.
    Type: Application
    Filed: March 19, 2012
    Publication date: January 2, 2014
    Applicant: BASF SE
    Inventors: Bastian Marten Noller, Yuzhuo Li, Diana Franz, Kenneth Rushing, Michael Lauter, Daniel Kwo-Hung Shen, Yongqing Lan, Zhenyu Bao
  • Patent number: 8617275
    Abstract: Disclosed is a polishing agent comprising: water; tetravalent metal hydroxide particles; and an additive, wherein the additive contains at least one of a cationic polymer and a cationic polysaccharide. The present invention can provide a polishing agent which is capable of polishing an insulating film at a high speed with less polishing flaws, and having a high polishing rate ratio of a silicon oxide film and a stopper film, in the CMP technology of flattening insulating film. The present invention can also provide a polishing agent set for storing the polishing agent, and a method for polishing a substrate using this polishing agent.
    Type: Grant
    Filed: April 22, 2009
    Date of Patent: December 31, 2013
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Yousuke Hoshi, Daisuke Ryuzaki, Naoyuki Koyama, Shigeru Nobe
  • Patent number: 8617418
    Abstract: Disclosed is an agent for removing a conductive film, which contains: an acid having a boiling point of 80° C. or higher, a base having a boiling point of 80° C. or higher, or a compound which generates an acid or a base by external energy in combination with a solvent, a resin, and a leveling agent. Also disclosed is a method for removing a conducting film, which uses the agent for removing a conductive film. The agent for removing a conductive film and the method for removing a conductive film are capable of in-place uniformity removing a desired portion of a conductive film.
    Type: Grant
    Filed: March 25, 2010
    Date of Patent: December 31, 2013
    Assignee: Toray Industries, Inc.
    Inventors: Hiroki Sekiguchi, Junji Mata
  • Patent number: 8617995
    Abstract: When a semiconductor device having a surface provided with a flexible protective material is manufactured, the misalignment of the protective material occurs at the time of disposing the protective material or performing adhesion treatment. In the case where the terminal portion over the substrate has a length X of 5 mm or less, by providing a step layer with a thickness of 0.38 X or more and 2 mm or less over the element portion, a space is formed between a surface of the terminal portion and the protective material even though the protective material disposed over the step layer so as to cover the element portion is overlapped with the terminal portion. By using an attaching member including an elastic material with a surface hardness of 50 or more and 100 or less in this state, the protective material and the substrate may be attached to each other.
    Type: Grant
    Filed: August 25, 2011
    Date of Patent: December 31, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Takuya Tsurume, Akihiro Chida
  • Publication number: 20130344696
    Abstract: Method for manufacturing semiconductor wafers having at least one through-base wafer via, the said method comprising the steps of (1) providing a semiconductor wafer having at least one electrically conductive via comprising an electrically conductive metal and extending from the front side of the semiconductor wafer at least partially through the semiconductor wafer; (2) affixing the frontside of the semiconductor wafer to a carrier; (3) contacting the backside of the semiconductor wafer with a polishing pad and an aqueous chemical mechanical polishing composition having a pH of equal to or greater than 9 and comprising (A) abrasive particles; (B) an oxidizing agent containing at least one peroxide group; and (C) an additive acting both as metal chelating agent and metal corrosion inhibitor; (4) chemically mechanically polishing the backside of the semiconductor wafer until at least one electrically conductive via is exposed. Preferably, the additive (C) is 1,2,3-triazole.
    Type: Application
    Filed: February 28, 2012
    Publication date: December 26, 2013
    Applicant: BASF SE
    Inventors: Yuzhuo Li, Changxue Wang, Daniel Kwo-Hung Shen
  • Patent number: 8591763
    Abstract: The inventive chemical-mechanical polishing composition comprises a liquid carrier, hydrogen peroxide, benzotriazole, and a halogen anion. The inventive method comprises chemically-mechanically polishing a substrate with the polishing composition.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: November 26, 2013
    Assignee: Cabot Microelectronics Corporation
    Inventor: Shoutian Li
  • Patent number: 8592317
    Abstract: The polishing solution for CMP of the invention comprises abrasive grains, a first additive and water, wherein the first additive is at least 1,2-benzoisothiazole-3(2H)-one or 2-aminothiazole. The polishing method of the invention is a polishing method for a substrate having a silicon oxide film on the surface, and the polishing method comprises a step of polishing the silicon oxide film with a polishing pad while supplying the polishing solution for CMP between the silicon oxide film and the polishing pad.
    Type: Grant
    Filed: May 6, 2011
    Date of Patent: November 26, 2013
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Eiichi Satou, Shigeru Nobe, Munehiro Oota, Masayuki Hanano, Shigeru Yoshikawa
  • Patent number: 8592316
    Abstract: A nitride semiconductor substrate includes two principal surfaces including an upper surface that is a growth face and a lower surface on its opposite side. An FWHM in a surface layer region at depths of from 0 to 250 nm from the upper surface is narrower than an FWHM in an inner region at depths exceeding 5 ?m from the upper surface, where the FWHMs are obtained by X-ray rocking curve measurement using diffraction off a particular asymmetric plane inclined relative to the upper surface.
    Type: Grant
    Filed: August 2, 2010
    Date of Patent: November 26, 2013
    Assignee: Hitachi Cable, Ltd.
    Inventors: Yuichi Oshima, Takehiro Yoshida
  • Patent number: 8585463
    Abstract: According to the process for producing a glass substrate for an information-recording medium of the present invention, a glass plate 10 is roughly polished by a polishing machine 1 using a polishing liquid 7 containing a polishing agent containing cerium oxide as a main component in the rough polishing step, followed by cleaning so as to adjust the amount of cerium oxide on the surface of the glass plate to be 0.125 ng/cm2 or less. The glass plate after rough polishing is thereafter finely polished with a polishing agent containing colloidal silica in the fine polishing step.
    Type: Grant
    Filed: June 23, 2011
    Date of Patent: November 19, 2013
    Assignee: Konica Minolta Advanced Layers, Inc.
    Inventor: Hazuki Nakae
  • Patent number: 8580691
    Abstract: A method of shaping a substrate in one embodiment includes providing a first support layer, providing a first shaping pattern on the first support layer, providing a substrate on the first shaping pattern, performing a first chemical mechanical polishing (CMP) process on the substrate positioned on the first shaping pattern, and removing the once polished substrate from the first shaping pattern.
    Type: Grant
    Filed: September 14, 2011
    Date of Patent: November 12, 2013
    Assignee: Robert Bosch GmbH
    Inventors: Andrew B. Graham, Gary Yama, Gary O'Brien
  • Publication number: 20130295771
    Abstract: A slurry for polishing a phase change material, such as Ge—Sb—Te, or germanium-antimony-tellurium (GST), includes abrasive particles of sizes that minimize at least one of damage to (e.g., scratching of) a polished surface of phase change material, an amount of force to be applied during polishing, and a static etch rate of the phase change material, while optionally providing selectivity for the phase change material over adjacent dielectric materials. A polishing method includes applying a slurry with one or more of the above-noted properties to a phase change material, as well as bringing the polishing pad into frictional contact with the phase change material. Polishing systems are disclosed that include a plurality of sources of solids (e.g., abrasive particles) and provide for selectivity in the solids that are applied to a substrate or polishing pad.
    Type: Application
    Filed: June 24, 2013
    Publication date: November 7, 2013
    Inventors: Zhenyu Lu, Jun Liu
  • Publication number: 20130288478
    Abstract: The present disclosure provides a concentrate for use in chemical mechanical polishing slurries, and a method of diluting that concentrate to a point of use slurry. The concentrate comprises abrasive, complexing agent, and corrosion inhibitor, and the concentrate is diluted with water and oxidizer. These components are present in amounts such that the concentrate can be diluted at very high dilution ratios, without affecting the polishing performance.
    Type: Application
    Filed: March 21, 2013
    Publication date: October 31, 2013
    Inventors: Hyungjun Kim, Richard Wen, Bin Hu, Minae Tanaka, Deepak Mahulikar
  • Publication number: 20130288479
    Abstract: A combination, composition and associated method for chemical mechanical planarization of a tungsten-containing substrate are described herein which afford tunability of tungsten/dielectric selectivity and low selectivity for tungsten removal in relation to dielectric material. Removal rates for both tungsten and dielectric are high and stability of the slurry (e.g., with respect to pH drift over time) is high.
    Type: Application
    Filed: June 28, 2013
    Publication date: October 31, 2013
    Inventors: Rachel Dianne McCONNELL, Ann Marie HURST
  • Patent number: 8568610
    Abstract: A chemical mechanical polishing composition is provided, comprising, as initial components: water, an abrasive; a diquaternary substance according to formula (I); a derivative of guanidine according to formula (II); and, optionally, a quaternary ammonium salt. Also, provided is a method for chemical mechanical polishing of a substrate, comprising: providing a substrate, wherein the substrate comprises silicon dioxide; providing the chemical mechanical polishing composition of the present invention; providing a chemical mechanical polishing pad; creating dynamic contact at an interface between the chemical mechanical polishing pad and the substrate; and dispensing the chemical mechanical polishing composition onto the chemical mechanical polishing pad at or near the interface between the chemical mechanical polishing pad and the substrate; wherein the chemical mechanical polishing composition has a pH of 2 to 6.
    Type: Grant
    Filed: September 20, 2010
    Date of Patent: October 29, 2013
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Zhendong Liu, Yi Guo, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Publication number: 20130280910
    Abstract: The invention relates to a contact release capsule comprising a particle, a chemical payload, and a polymer coating, wherein the particle is impregnated with the chemical payload, and the chemical payload is held inside the particle by the polymer coating until the contact release capsule contacts a surface and a shearing force removes the polymer coating allowing the chemical payload to release outside the particle. The contact release capsule is useful in chemical mechanical planarization slurries. Particularly, the contact release capsule may comprise a glycine impregnated silica nanoparticle coated with a polymer, wherein the contact release capsule is dispersed in an aqueous solution and used in the copper chemical mechanical planarization process. Use of the contact release capsule in a slurry for copper chemical mechanical planarization may significantly improve planarization efficiency, decrease unwanted etching and corrosion, and improve dispersion stability.
    Type: Application
    Filed: July 5, 2012
    Publication date: October 24, 2013
    Inventor: Robin Ihnfeldt
  • Patent number: 8557134
    Abstract: A method is provided for reformulating a chemical mechanical planarization (CMP) slurry for use in conjunction with a CMP tool having an active cycle during which the tool is being used to planarize a substrate, and a rinse cycle during which the tool is being rinsed. The method comprises (a) receiving a feed stream from the CMP tool, at least a portion of the feed stream comprising abrasive particles disposed in a liquid medium; (b) during at least a portion of the rinse cycle, sending the feedstream received from the CMP tool to a first location; and (c) during at least a portion of the active cycle, sending the feedstream received from the CMP tool to a second location where the feedstream undergoes processing to reformulate the slurry.
    Type: Grant
    Filed: January 20, 2011
    Date of Patent: October 15, 2013
    Assignee: Environmental Process Solutions, Inc.
    Inventors: Shaun C. Bosar, Martin Boehm, Robert Edward Johnston
  • Patent number: 8551887
    Abstract: A method using an associated composition for chemical mechanical planarization of a copper-containing substrate affords high copper removal rates and low dishing values during CMP processing of the copper-containing substrate, including an abrasive, at least three surfactants, preferably non-ionic and preferably three distinct surfactants, preferably in the range of 100 ppm to 2000 ppm per surfactant and an oxidizing agent.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: October 8, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventor: Xiaobo Shi
  • Publication number: 20130260558
    Abstract: Provided is a polishing liquid including cerium oxide particles, an organic acid A, a polymer compound B having a carboxyl acid group or a carboxylate group, and water, wherein the organic acid A has at least one group selected from the group consisting of —COOM group, -Ph-OM group, —SO3M group and —PO3M2 group, pKa of the organic acid A is less than 9, a content of the organic acid A is 0.001 to 1 mass % with respect to the total mass of the polishing liquid, and a content of the polymer compound B is 0.01 to 0.50 mass % with respect to the total mass of the polishing liquid, and pH is in the range of 4.0 to 7.0.
    Type: Application
    Filed: December 22, 2011
    Publication date: October 3, 2013
    Applicant: HITACHI CHEMICAL CO., LTD.
    Inventors: Munehiro Oota, Takaaki Tanaka, Toshio Takizawa, Shigeru Yoshikawa, Takaaki Matsumoto, Takahiro Yoshikawa, Takashi Shinoda
  • Patent number: 8546261
    Abstract: A polishing slurry includes an abrasive, a dispersion agent, a polish accelerating agent and an adhesion inhibitor. The adhesion inhibitor includes a benzene compound combined with a carboxyl group. Methods of planarizing an insulating layer using the slurry are also provided.
    Type: Grant
    Filed: February 24, 2011
    Date of Patent: October 1, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sangkyun Kim, NamSoo Kim, JongWoo Kim, Yun-Jeong Kim
  • Patent number: 8545712
    Abstract: In a method of manufacturing semiconductor wafers, front and back surfaces of the semiconductor wafers are simultaneously polished with a double-side polishing machine that includes: a carrier for accommodating the semiconductor wafer; and an upper press platen and a lower press platen for sandwiching the carrier. The method includes: accommodating the semiconductor wafer in the carrier while a thickness of the semiconductor wafer is set to be larger than a thickness of the carrier by 0 ?m to 5 ?m; and polishing the semiconductor wafer while feeding a polishing slurry to between the surfaces of the semiconductor wafer and surfaces of the press platens. In the polishing, an allowance of both surfaces of the semiconductor wafer is set at 5 ?m or less in total.
    Type: Grant
    Filed: September 11, 2008
    Date of Patent: October 1, 2013
    Assignee: Sumco Techxiv Corporation
    Inventors: Hiroshi Takai, Kenji Satomura, Yuichi Nakayoshi, Katsutoshi Yamamoto, Kouji Mizowaki
  • Patent number: 8545715
    Abstract: A chemical mechanical polishing composition and method is provided, wherein the low-k dielectric material removal rate remains stable following the polishing of a 110th polished wafer in a plurality of wafers to be polished.
    Type: Grant
    Filed: October 9, 2012
    Date of Patent: October 1, 2013
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Hongyu Wang, David Mosley
  • Patent number: 8541308
    Abstract: A polishing method and a method for forming a gate are provided. The method includes forming a dummy gate on a semiconductor substrate including a sacrificial oxide layer and a polysilicon layer which covers the sacrificial oxide layer, forming spacers around the dummy gate, and successively forming a silicon nitride layer and a dielectric layer covering the silicon nitride layer. The method further includes polishing the dielectric layer until the silicon nitride layer is exposed, polishing the silicon nitride layer on a fixed abrasive pad until the polysilicon layer is exposed by using a polishing slurry with a PH value ranging from 10.5 to 11 and comprising an anionic surfactant or a zwitterionic surfactant. Additionally, the method includes forming an opening after removing the dummy gate, and forming a gate in the opening. The method eliminates potential erosion and dishing caused in the polishing of the silicon nitride layer.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: September 24, 2013
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Li Jiang, Mingqi Li
  • Patent number: 8541310
    Abstract: The present invention provides a chemical-mechanical polishing (CMP) composition for polishing a ruthenium-containing substrate in the presence of hydrogen peroxide without forming a toxic level of ruthenium tetroxide during the polishing process. The composition comprises (a) a catalytic oxidant comprising a water-soluble peroxometalate complex, an oxidizable precursor of a peroxometalate complex, or a combination thereof, (b) a particulate abrasive; and (c) an aqueous carrier. The peroxometalate complex and the precursor thereof each have a reduced form that is oxidizable by hydrogen peroxide to regenerate the peroxometalate complex during chemical-mechanical polishing. CMP methods for polishing ruthenium-containing surfaces with the CMP composition are also provided.
    Type: Grant
    Filed: May 4, 2007
    Date of Patent: September 24, 2013
    Assignee: Cabot Microelectronics Corporation
    Inventors: Daniela White, John Parker
  • Patent number: 8540894
    Abstract: A polishing composition that can improve polishing property without foaming is provided. A polishing composition includes a pH regulator, a water-soluble polymer compound, and a compound containing an alkylene diamine structure having two nitrogens represented by the following general formula (1), and having at least one block type polyether bonded to the two nitrogens of the alkylene structure, the block type polyether having a bond of an oxyethylene group and an oxypropylene group: where R represents an alkylene group represented by CnH2n, in which n is an integer of 1 or more.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: September 24, 2013
    Assignee: Nitta Haas Incorporated
    Inventors: Takayuki Matsushita, Masashi Teramoto, Haruki Nojo
  • Publication number: 20130244432
    Abstract: The invention relates to a chemical-mechanical polishing composition comprising a ceria abrasive, cations of one or more lanthanide metals, one or more nonionic polymers, water, and optionally one or more additives. The invention further relates to a method of chemically-mechanically polishing a substrate with the inventive chemical-mechanical polishing composition. Typically, the substrate comprises one or more of silicon oxide, silicon nitride, and polysilicon.
    Type: Application
    Filed: March 13, 2013
    Publication date: September 19, 2013
    Inventors: Brian REISS, Michael WILLHOFF, Daniel MATEJA
  • Publication number: 20130244433
    Abstract: The invention provides a chemical-mechanical polishing composition containing a ceria abrasive, one or more nonionic polymers, optionally one or more phosphonic acids, optionally one or more nitrogen-containing zwitterionic compounds, optionally one or more sulfonic acid copolymers, optionally one or more anionic copolymers, optionally one or more polymers comprising quaternary amines, optionally one or more compounds that adjust the pH of the polishing compositions, water, and optionally one or more additives. The invention further provides a method of chemically-mechanically polishing a substrate with the inventive chemical-mechanical polishing composition. Typically, the substrate contains silicon oxide, silicon nitride, and/or polysilicon.
    Type: Application
    Filed: March 13, 2013
    Publication date: September 19, 2013
    Applicant: Cabot Microelectronics Corporation
    Inventors: Brian Reiss, Glenn Whitener
  • Publication number: 20130244431
    Abstract: The polishing liquid according to the embodiment comprises abrasive grains, an additive and water, wherein the abrasive grains satisfy either or both of the following conditions (a) and (b). (a) Producing absorbance of at least 1.50 for light with a wavelength of 400 nm in an aqueous dispersion with a content of the abrasive grains adjusted to 1.0 mass %, and also producing light transmittance of at least 50%/cm for light with a wavelength of 500 nm in an aqueous dispersion with a content of the abrasive grains adjusted to 1.0 mass %. (b) Producing absorbance of at least 1.000 for light with a wavelength of 290 nm in an aqueous dispersion with a content of the abrasive grains adjusted to 0.0065 mass %, and also producing light transmittance of at least 50%/cm for light with a wavelength of 500 nm in an aqueous dispersion with a content of the abrasive grains adjusted to 1.0 mass %.
    Type: Application
    Filed: January 31, 2013
    Publication date: September 19, 2013
    Inventors: Tomohiro IWANO, Takenori NARITA, Daisuke RYUZAKI
  • Patent number: 8524111
    Abstract: The present invention provides a CMP abrasive slurry for polishing insulation film, that allow efficiently and high-speed polishing of insulation films such as SiO2 film and SiOC film in the CMP method of flattening an interlayer insulation film, a BPSG film, an insulation film for shallow trench isolation, or a wiring-insulating film layer, a polishing method by using the abrasive slurry, and a semiconductor electronic part polished by the polishing method. A CMP abrasive slurry for polishing insulation film containing cerium oxide particles, a dispersant, a water-soluble polymer having amino groups on the side chains and water, a polishing method by using the CMP abrasive slurry, and a semiconductor electronic part polished by the polishing method.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: September 3, 2013
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Masato Fukasawa, Kazuhiro Enomoto, Chiaki Yamagishi, Naoyuki Koyama
  • Publication number: 20130224954
    Abstract: A silicon carbide single crystal substrate is disclosed, wherein a density of first adhered particles attached onto one surface of the substrate and having a height of 100 nm or more is one particle/cm2 or less, and also a density of second adhered particles attached onto one surface of the substrate and having a height of less than 100 nm is 1,500 particles/cm2 or less. Also disclosed is a method of producing the silicon carbide single crystal substrate, including a first surface processing step, a cleaning step, a surface inspection step and a second surface processing step.
    Type: Application
    Filed: March 18, 2013
    Publication date: August 29, 2013
    Applicant: SHOWA DENKO K.K.
    Inventor: SHOWA DENKO K.K.