Utilizing Particulate Abradant Patents (Class 438/693)
  • Publication number: 20140308814
    Abstract: In one aspect, a substrate chemical mechanical polishing (CMP) method for copper-layered substrates is disclosed. The CMP method includes providing a substrate having a surface of copper, and pre-treating the surface containing copper with a first composition containing a carrier liquid, a corrosion inhibitor, and an oxidizer in a pre-treatment phase, and thereafter, polishing the surface with a slurry composition in a main polishing phase. CMP systems and compositions for CMP are provided, as are numerous other aspects.
    Type: Application
    Filed: April 15, 2013
    Publication date: October 16, 2014
    Applicant: Applied Materials, Inc
    Inventors: David Maxwell Gage, You Wang, Zhihong Wang, Wen-chiang Tu
  • Patent number: 8859428
    Abstract: Methods for removing, reducing or treating the trace metal contaminants and the smaller fine sized cerium oxide particles from cerium oxide particles, cerium oxide slurry or chemical mechanical polishing (CMP) compositions for Shallow Trench Isolation (STI) process are applied. The treated chemical mechanical polishing (CMP) compositions, or the CMP polishing compositions prepared by using the treated cerium oxide particles or the treated cerium oxide slurry are used to polish substrate that contains at lease a surface comprising silicon dioxide film for STI (Shallow trench isolation) processing and applications. The reduced nano-sized particle related defects have been observed due to the reduced trace metal ion contaminants and reduced very smaller fine cerium oxide particles in the Shallow Trench Isolation (STI) CMP polishing.
    Type: Grant
    Filed: September 18, 2013
    Date of Patent: October 14, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Xiaobo Shi, John Edward Quincy Hughes, Hongjun Zhou, Daniel Hernandez Castillo, II, Jae Ouk Choo, James Allen Schlueter, Jo-Ann Theresa Schwartz, Laura Ledenbach, Steve Charles Winchester, Saifi Usmani, John Anthony Marsella, Martin Kamau Ngigi Mungai
  • Patent number: 8859429
    Abstract: A polishing agent for copper polishing, comprising (A) an inorganic acid with divalent or greater valence, (B) an amino acid, (C) a protective film-forming agent, (D) an abrasive, (E) an oxidizing agent and (F) water, wherein the content of the component (A) is at least 0.08 mol/kg, the content of the component (B) is at least 0.20 mol/kg, the content of the component (C) is at least 0.02 mol/kg, and either or both of the following conditions (i) and (ii) are satisfied. (i): The proportion of the content of the component (A) with respect to the content of the component (C) is 2.00 or greater. (ii): It further comprises (G) at least one kind selected from among organic acids and their acid anhydrides.
    Type: Grant
    Filed: March 6, 2012
    Date of Patent: October 14, 2014
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Hiroshi Ono, Takashi Shinoda, Yuuhei Okada
  • Patent number: 8853082
    Abstract: An object of the present invention is to provide a polishing liquid for CMP with which polishing scratches can be reduced and a sufficiently high polishing rate can be obtained in a CMP step for an ILD film, aggregation of an abrasive grain is difficult to occur, and high flatness is obtained, and provide a polishing method using the same. The polishing liquid for CMP according to the present invention is a polishing liquid for CMP containing an abrasive grain, an additive, and water, wherein the abrasive grain comprises a cerium-based particle, and the additive comprises a 4-pyrone-based compound and at least one of a nonionic surfactant or a cationic surfactant: [wherein X11, X12, and X13 each independently represent a hydrogen atom or a monovalent substituent].
    Type: Grant
    Filed: December 24, 2010
    Date of Patent: October 7, 2014
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Masayuki Hanano, Eiichi Satou, Munehiro Oota, Kanshi Chinone
  • Publication number: 20140295656
    Abstract: A wafer transfer assembly and method of using the assembly to transfer device wafers between processing tools in a manufacturing process are described herein. The assembly comprises a wafer transfer disk, an end effector configured to receive and support the wafer transfer disk, and an elongated handle extending from the end effector. The wafer transfer disk comprises a wafer-engaging surface configured to support a debonded device wafer placed on the wafer transfer assembly with the device surface adjacent the wafer-engaging surface. The wafer-engaging surface has non-stick properties, and yields a low bonding strength interface between the wafer-engaging surface and device surface. The resulting transfer stack can be transported to other processing tools for additional processing of the debonded device wafer, followed by separating the debonded device wafer and the wafer transfer disk without damaging the device wafer.
    Type: Application
    Filed: March 28, 2014
    Publication date: October 2, 2014
    Applicant: Brewer Science Inc.
    Inventors: Blake Waterworth, Steven Matthew Rich, Molly Hladik, Kirk Emory
  • Patent number: 8846533
    Abstract: A cleaning solution of the present invention contains a sodium ion, a potassium ion, an iron ion, an ammonium salt of a sulfuric ester represented by General Formula (1), and water, and each content of the sodium ion, the potassium ion, and the iron ion is 1 ppb to 500 ppb. ROSO3—(X)+ (1) where R is an alkyl group with a carbon number of 8-22 or an alkenyl group with a carbon number of 8-22, and (X)+ is an ammonium ion.
    Type: Grant
    Filed: May 18, 2009
    Date of Patent: September 30, 2014
    Assignee: Kao Corporation
    Inventor: Youichi Ishibashi
  • Patent number: 8841215
    Abstract: Afforded are a polishing agent, and a compound semiconductor manufacturing method and semiconductor device manufacturing method utilizing the agent, whereby the surface quality of compound semiconductor substrates can be favorably maintained, and high polishing rates can be sustained as well. The polishing agent is a polishing agent for Ga?In(1-?)As?P(1-?) (0???1; 0???1) compound semiconductors, and includes an alkali metal carbonate, an alkali metal organic salt, a chlorine-based oxidizer, and an alkali metal phosphate, wherein the sum of the concentrations of the alkali metal carbonate and the alkali metal organic salt is between 0.01 mol/L and 0.02 mol/L, inclusive. The compound semiconductor manufacturing method comprises a step of preparing a Ga?In(1-?)As?P(1-?) (0???1; 0???1) compound semiconductor, and a step of polishing the face of the compound semiconductor utilizing an aforedescribed polishing agent.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: September 23, 2014
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Keiji Ishibashi, Masashi Futamura, Takayuki Nishiura
  • Patent number: 8841197
    Abstract: The present invention provides a method for forming a fin structure comprising the following steps: first, a multiple-layer structure is formed on a substrate; then, a sacrificial pattern is formed on the multiple-layer structure, a spacer is formed on the sidewall of the sacrificial pattern and disposed on the multiple-layer structure, the sacrificial pattern is removed, the spacer is used as a cap layer to etch parts of the multiple-layer structure, and then the multiple-layer structure is used as a cap layer to etch the substrate and to form at least one fin structure in the substrate.
    Type: Grant
    Filed: March 6, 2013
    Date of Patent: September 23, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Shih-Hung Tsai, Chun-Hsien Lin, Chien-Ting Lin
  • Patent number: 8840798
    Abstract: A slurry composition for chemical mechanical polishing, including 0.1% to 20% by weight of an aminosilane-surface treated polishing agent; 0.001% to 5% by weight of an additive selected from amino acids, amino acid derivatives, salts thereof, and combinations thereof; 0.0001% to 0.5% by weight of a corrosion inhibitor; and 0.01% to 5% by weight of an oxidizing agent, with the balance being a solvent, is provided. The slurry composition for chemical mechanical polishing has a conspicuously high polishing rate for silicon oxide films, is capable of selectively preventing the removal of silicon nitride films, does not cause an imbalance in polishing, gives an excellent degree of planarization, has excellent stability over time and dispersion stability, causes less generation of particles and scratches, and produces very satisfactory polished surfaces of barrier metal films and oxide films.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: September 23, 2014
    Assignee: Soulbrain Co., Ltd.
    Inventors: Deok-Su Han, Hwan-Chul Kim, Seok-Joo Kim, Hyu-Bum Park
  • Patent number: 8841216
    Abstract: A composition and associated method for chemical mechanical planarization of a metal-containing substrate (e.g., a copper substrate) are described herein which afford high and tunable rates of metal removal as well as low within a wafer non-uniformity values and low residue levels remaining after polishing.
    Type: Grant
    Filed: March 4, 2013
    Date of Patent: September 23, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Xiaobo Shi, Bentley J. Palmer, Rebecca A. Sawayda, Fadi Abdallah Coder, Victoria Perez
  • Publication number: 20140256134
    Abstract: Provided is a method of planarizing a semiconductor device. A dielectric layer is formed over a substrate. A plurality of openings is formed in the dielectric layer. The openings have varying distribution densities. The openings are filled with a metal material. A first chemical-mechanical-polishing (CMP) process is performed to remove portions of the metal material over the dielectric layer. Thereafter, a sacrificial layer is formed over the dielectric layer and the metal material. The sacrificial layer has a planar surface. The sacrificial layer is formed through one of: a spin-on process or a flowable chemical vapor deposition (FCVD) process. A second CMP process is then performed to remove the sacrificial layer and portions of the dielectric layer and the metal material therebelow. The second CMP process uses a slurry configured to have a substantially similar polishing selectivity between the sacrificial layer, the dielectric layer, and the metal material.
    Type: Application
    Filed: March 8, 2013
    Publication date: September 11, 2014
    Inventors: Hsin-Hsien Lu, Chang-Sheng Lin
  • Patent number: 8828266
    Abstract: A CMP slurry composition includes metal oxide particles, a diisocyanate compound, and deionized water. The CMP slurry composition is capable of selectively controlling polishing speed of a wafer surface having a convex portion and a concave portion, such that primary polishing and secondary polishing can be performed rapidly while stopping polishing of the nitride layer upon the secondary polishing.
    Type: Grant
    Filed: December 19, 2012
    Date of Patent: September 9, 2014
    Assignee: Cheil Industries Inc.
    Inventors: Hyun Soo Roh, Dong Jin Kim, Yong Soon Park, Yong Kuk Kim, Young Chul Jung
  • Patent number: 8828874
    Abstract: A method of chemically-mechanically polishing a substrate having a Group III-nitride surface includes providing a chemical-mechanical polishing slurry composition. The slurry composition includes a slurry solution including a liquid carrier and an oxidizer including a transition metal or a per-based compound. The slurry solution includes at least one component that reacts with the Group III-nitride surface to form a softened Group III-nitride surface. The Group III-nitride comprising surface is contacted with the slurry composition by a pad to form the softened Group III-nitride surface. The pad is moved relative to the softened Group III-nitride surface, wherein at least a portion of the softened Group III-nitride surface is removed.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: September 9, 2014
    Assignees: Sinmat, Inc., University of Florida Research Foundation, Inc.
    Inventors: Rajiv K. Singh, Arul Chakkaravarthi Arjunan, Deepika Singh, Abhudaya Mishra
  • Patent number: 8828875
    Abstract: Provided is a method of planarizing a semiconductor device. A dielectric layer is formed over a substrate. A plurality of openings is formed in the dielectric layer. The openings have varying distribution densities. The openings are filled with a metal material. A first chemical-mechanical-polishing (CMP) process is performed to remove portions of the metal material over the dielectric layer. Thereafter, a sacrificial layer is formed over the dielectric layer and the metal material. The sacrificial layer has a planar surface. The sacrificial layer is formed through one of: a spin-on process or a flowable chemical vapor deposition (FCVD) process. A second CMP process is then performed to remove the sacrificial layer and portions of the dielectric layer and the metal material therebelow. The second CMP process uses a slurry configured to have a substantially similar polishing selectivity between the sacrificial layer, the dielectric layer, and the metal material.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: September 9, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsin-Hsien Lu, Chang-Sheng Lin
  • Publication number: 20140248776
    Abstract: Disclosed is a polishing composition that contains at least abrasive grains, an oxidizing agent having a redox potential equal to or greater than 1.8 V at a pH for application of polishing, and water. The abrasive grains are preferably composed of at least one substance selected from among silicon oxide, aluminum oxide, cerium oxide, zirconium oxide, titanium oxide, manganese oxide, silicon carbide, and silicon nitride. The oxidizing agent is preferably composed of at least one substance selected from among sodium persulfate, potassium persulfate, and ammonium persulfate. The polishing composition preferably has a pH equal to or less than 3.
    Type: Application
    Filed: August 3, 2012
    Publication date: September 4, 2014
    Inventors: Hiroshi Asano, Hitoshi Morinaga, Kazusei Tamai
  • Patent number: 8822340
    Abstract: A colloidal dispersion for chemical mechanical polishing comprising: (a) an abrasive component; and (b) from about 0.05% to about 10% by weight of the abrasive component, a water-soluble amphoteric polymer comprising at least one macromolecular chain B and a part A bonded to a single end of the at least one macromolecular chain B, wherein the macromolecular chain B is derived from one or more ethylenically unsaturated monomers having quaternary ammonium groups or inium groups, and wherein the part A is a polymeric or nonpolymeric group comprising at least one anionic group; wherein the dispersion has a pH of between about 1.5 and about 6. The colloidal dispersion is capable of polishing a substrate comprising silicon nitride and silicon oxide with a reverse selectivity ratio of at least about 27, typically at least 50 the reverse selectivity ratio being the ratio of the rate of removal of the silicon nitride to the rate of removal of the silicon oxide.
    Type: Grant
    Filed: January 3, 2013
    Date of Patent: September 2, 2014
    Assignees: Rhodia Operations, Clarkson University—Division of Research
    Inventors: Suryadevara V. Babu, Pradeepa Dandu, Vamsi K. Devarapalli, Guillaume Criniere, Claire Pitois
  • Patent number: 8821750
    Abstract: The present invention relates to a metal polishing slurry containing abrasive grains, a metal-oxide-dissolving agent, and water, wherein the abrasive grains contain two or more abrasive grain species different from each other in average secondary particle diameter. Using the metal polishing slurry of the present invention, a metal polishing slurry can be obtained which gives a large polishing rate of an interlayer dielectric layer, and is high in the flatness of the polished surface. This metal polishing slurry can provide suitable method for a semiconductor device which is excellent in being made finer and thinner and in dimension precision and in electric characteristics, is high in reliability, and can attain a decrease in costs.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: September 2, 2014
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Jin Amanokura, Takafumi Sakurada, Sou Anzai, Takashi Shinoda, Shigeru Nobe
  • Publication number: 20140242798
    Abstract: A polishing composition of the present invention is used for polishing an object containing a phase-change alloy and is characterized by containing an ionic additive. Examples of the ionic additive include a cationic surfactant, an anionic surfactant, an amphoteric surfactant, and a cationic water-soluble polymer.
    Type: Application
    Filed: September 28, 2012
    Publication date: August 28, 2014
    Applicant: FUJIMI INCORPORATION
    Inventors: Yoshihiro Izawa, Yukinobu Yoshizaki
  • Publication number: 20140242750
    Abstract: The present invention provides a polishing slurry capable of polishing even high-hardness materials such as silicon carbide and gallium nitride at a high polishing speed. The present invention is a polishing slurry including a slurry containing a manganese oxide particle and a manganate ion for polishing high-hardness materials having a Mohs hardness of 8 or higher. In the present invention, the manganese oxide particle in the slurry is preferably 1.0 mass % or more; the manganese oxide is preferably manganese dioxide; and the manganate ion is preferably permanganate ion. The polishing slurry according to the present invention enables even high-hardness hardly-machinable materials such as silicon carbide and gallium nitride to be polished smoothly at a high speed.
    Type: Application
    Filed: October 12, 2012
    Publication date: August 28, 2014
    Inventors: Ryuichi Sato, Yohei Maruyama, Atsushi Koike
  • Patent number: 8815110
    Abstract: The invention provides a polishing composition comprising (a) silica, (b) one or more compounds that increase the removal rate of silicon, (c) one or more tetraalkylammonium salts, and (d) water, wherein the polishing composition has a pH of about 7 to about 11. The invention further provides a method of polishing a substrate with the polishing composition.
    Type: Grant
    Filed: April 16, 2010
    Date of Patent: August 26, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Brian Reiss, Michael White, Lamon Jones, John Clark
  • Publication number: 20140220779
    Abstract: The disclosure provides polishing compositions that show a high polishing rate ratio of a silicon nitride (SiN) surface to a silicon oxide surface, and/or of a SiN surface to a polycrystalline silicon (Poly Si) surface. Such compositions comprise, in certain aspects, of colloidal silica, and one or more water soluble polymers, and has a pH of 6 or less, wherein the colloidal silica comprises one or more organic acids bound to its surface, and the water soluble polymer is a polyoxyalkylene hydrocarbyl ether which hydrocarbyl moiety has 12 or more carbon atoms.
    Type: Application
    Filed: January 31, 2014
    Publication date: August 7, 2014
    Inventors: Hooi-Sung Kim, Anne Miller
  • Publication number: 20140213057
    Abstract: A chemical mechanical polishing (CMP) composition comprising (A) inorganic particles, organic particles, or a mixture or composite thereof, (B) a glycoside of the formulae 1 to 6 wherein R1 is alkyl, aryl, or alkylaryl, R2 is H, X1, X2, X3, X4, X5, X6, alkyl, aryl, or alkylaryl, R3 is H, X1, X2, X3, X4, X5, X6, alkyl, aryl, or alkylaryl, R4 is H, X1, X2, X3, X4, X5, X6, alkyl, aryl, or alkylaryl, R5 is H, X1, X2, X3, X4, X5, X6, alkyl, aryl, or alkylaryl, and the total number of monosaccharide units (X1, X2, X3, X4, X5, or X6) in the glycoside is in the range of from 1 to 20, and (C) an aqueous medium.
    Type: Application
    Filed: September 4, 2012
    Publication date: July 31, 2014
    Applicant: BASF SE
    Inventor: Ning GAO
  • Patent number: 8791019
    Abstract: The present invention provides a metal polishing liquid capable of CMP at a high Cu polishing rate and solving the problems: (a) generation of scratches attributable to solid particles, (b) generation of deteriorations in flatness such as dishing and erosion, (c) complexity in a washing process for removing abrasive particles remaining on the surface of a substrate after polishing, and (d) higher costs attributable to the cost of a solid abrasive itself and to waste liquid treatment, as well as a method of polishing a film to be polished by using the same.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: July 29, 2014
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Yutaka Nomura, Hiroshi Nakagawa, Sou Anzai, Ayako Tobita, Takafumi Sakurada, Katsumi Mabuchi
  • Patent number: 8790527
    Abstract: A method for providing waveguide structures for an energy assisted magnetic recording (EAMR) transducer is described. The waveguide structures have a plurality of widths. At least one waveguide layer is provided. Mask structure(s) corresponding to the waveguide structures and having a pattern are provided on the waveguide layer(s). The mask structure(s) include a planarization stop layer, a planarization assist layer on the planarization stop layer, and a hard mask layer on the planarization assist layer. The planarization assist layer has a low density. The pattern of the mask structure(s) is transferred to the waveguide layer(s). Optical material(s) that cover the waveguide layer(s) and a remaining portion of the mask structure(s) are provided. The optical material(s) have a density that is at least twice the low density of the planarization assist layer. The method also includes performing a planarization configured to remove at least a portion of the optical material(s).
    Type: Grant
    Filed: March 22, 2011
    Date of Patent: July 29, 2014
    Assignee: Western Digital (Fremont), LLC
    Inventors: Guanghong Luo, Ming Jiang, Danning Yang, Yunfei Li
  • Patent number: 8790521
    Abstract: A combination, composition and associated method for chemical mechanical planarization of a tungsten-containing substrate are described herein which afford tunability of tungsten/dielectric selectivity and low selectivity for tungsten removal in relation to dielectric material. Removal rates for both tungsten and dielectric are high and stability of the slurry (e.g., with respect to pH drift over time) is high.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: July 29, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Rachel Dianne McConnell, Ann Marie Hurst
  • Patent number: 8790160
    Abstract: A method for chemical mechanical polishing of a substrate comprising a germanium-antimony-tellurium chalcogenide phase change alloy (GST) using a chemical mechanical polishing composition comprising, as initial components: water; an abrasive; at least one of a phthalic acid, a phthalic anhydride, a phthalate compound and a phthalic acid derivative; a chelating agent; a poly(acrylic acid-co-maleic acid); and an oxidizing agent; wherein the chemical mechanical polishing composition facilitates a high GST removal rate with low defectivity.
    Type: Grant
    Filed: April 28, 2011
    Date of Patent: July 29, 2014
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Jaeseok Lee, Yi Guo, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Publication number: 20140199842
    Abstract: In one aspect, a substrate chemical mechanical polishing (CMP) method for substrates is disclosed. The CMP method includes providing a substrate having a surface of silicon and copper such as through silicon via regions containing copper, and polishing the surface with a slurry containing very small silicon nanoparticles (e.g., having an average diameter less than 8 nanometers). CMP systems and slurries for CMP are provided, as are numerous other aspects.
    Type: Application
    Filed: December 30, 2013
    Publication date: July 17, 2014
    Inventors: Vishwas V. Hardikar, Zhihong Wang, David Maxwell Gage, Thomas E. Gartner, III
  • Publication number: 20140199841
    Abstract: A process for the manufacture of semiconductor devices comprising the chemical mechanical polishing of elemental germanium and/or Si1-xGex material with 0.1?x<1 in the presence of a chemical mechanical polishing (CMP) composition having a pH value in the range of from 3.0 to 5.5 and comprising: (A) inorganic particles, organic particles, or a mixture or composite thereof (B) at least one type of an oxidizing agent, and (C) an aqueous medium.
    Type: Application
    Filed: July 30, 2012
    Publication date: July 17, 2014
    Applicant: BASF SE
    Inventor: Ning GAO
  • Patent number: 8778217
    Abstract: Disclosed is a polishing slurry for CMP which makes it possible to polish a barrier layer, a wiring metal layer and an interlayer dielectric continuously, and restrain a phenomenon that the interlayer dielectric in a region near the wiring metal layer is excessively shaven off so that a depression is generated. A polishing slurry, for CMP, containing abrasive particles, an acid, a tolyltriazole compound represented by the following general formula (I), and water: wherein R1s each independently represent an alkylene group having 1 to 4 carbon atoms, and R2 represents an alkylene group having 1 to 4 carbon atoms.
    Type: Grant
    Filed: July 4, 2007
    Date of Patent: July 15, 2014
    Assignee: Hitachi Chemical Company, Ltd.
    Inventor: Tadahiro Kimura
  • Patent number: 8778212
    Abstract: The invention provides a chemical-mechanical polishing composition containing zirconia particles, a modifying agent that adheres to the zirconia particles, an organic acid, and water, as well as a method of using such a polishing composition to polish substrates and a method of using a polishing composition comprising zirconia particles, an organic acid, an oxidizing agent, and water to polishing substrates containing metal and oxide-based dielectric materials.
    Type: Grant
    Filed: May 22, 2012
    Date of Patent: July 15, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Wiechang Jin, John Parker, Elizabeth Remsen
  • Patent number: 8778211
    Abstract: The present invention provides chemical-mechanical polishing (CMP) compositions suitable for polishing a substrate comprising a germanium-antimony-tellurium (GST) alloy. The CMP compositions of the present invention are aqueous slurries comprising a particulate abrasive, a water-soluble surface active agent, a complexing agent, and a corrosion inhibitor. The ionic character of the surface active material (e.g., cationic, anionic, or nonionic) is selected based on the zeta potential of the particulate abrasive. A CMP method for polishing a GST alloy-containing substrate utilizing the composition is also disclosed.
    Type: Grant
    Filed: July 17, 2012
    Date of Patent: July 15, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Matthias Stender, Glenn Whitener, Chul Woo Nam
  • Patent number: 8778803
    Abstract: Disclosed is a CMP slurry for silicon film polishing, comprising abrasive grains, an oxidizing agent, a cationic surfactant, and water. This CMP slurry is suitable for the CMP step of a silicon film of semiconductor devices, since it enables to obtain excellent planarity and excellent performance of controlling the remaining film thickness, while improving the yield and reliability of the semiconductor devices. This CMP slurry also enables to reduce the production cost.
    Type: Grant
    Filed: June 6, 2008
    Date of Patent: July 15, 2014
    Assignee: Hitachi Chemical Company, Ltd.
    Inventor: Takenori Narita
  • Patent number: 8771540
    Abstract: The present disclosure provides a concentrate for use in chemical mechanical polishing slurries, and a method of diluting that concentrate to a point of use slurry. The concentrate comprises abrasive, complexing agent, and corrosion inhibitor, and the concentrate is diluted with water and oxidizer. These components are present in amounts such that the concentrate can be diluted at very high dilution ratios, without affecting the polishing performance.
    Type: Grant
    Filed: March 21, 2013
    Date of Patent: July 8, 2014
    Assignee: Fujifilm Planar Solutions, LLC
    Inventors: Hyungjun Kim, Richard Wen, Bin Hu, Minae Tanaka, Deepak Mahulikar
  • Publication number: 20140187043
    Abstract: A non-oxide single-crystal substrate such as a silicon carbide single-crystal substrate is polished at a high polishing rate, whereby a smooth surface is obtained. There is provided a polishing agent containing: an oxidant that contains a transition metal and has a redox potential of 0.5 V or more; silica particles that have an average secondary particle size of 0.2 ?m or less; and a dispersion medium, wherein a content ratio of the oxidant is not less than 0.25 mass % nor more than 5 mass %, and a content ratio of the silica particles is not less than 0.01 mass % and less than 20 mass %.
    Type: Application
    Filed: March 5, 2014
    Publication date: July 3, 2014
    Applicant: ASAHI GLASS COMPANY, LIMITED
    Inventors: Iori YOSHIDA, Satoshi TAKEMIYA, Hiroyuki TOMONAGA
  • Patent number: 8759218
    Abstract: A chemical mechanical polishing process includes placing a substrate on a first polishing pad of a first platen, wherein the substrate has a bulk metal layer and a barrier layer; polishing the bulk metal layer by using the first polishing pad having a hardness of above 50 (Shore D) until the barrier layer is exposed; polishing the barrier layer on a second polishing pad of a second platen after removing the bulk metal layer, wherein the second polishing pad has a hardness ranging between 40 and 50 (Shore D) and includes an upper layer and a lower backing layer and the upper layer has a hardness less than 50 (Shore D).
    Type: Grant
    Filed: December 28, 2011
    Date of Patent: June 24, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Boon-Tiong Neo, Chin-Kun Lin, Lee-Lee Lau
  • Patent number: 8759219
    Abstract: A planarization method of manufacturing a semiconductor component is provided. A dielectric layer is formed above a substrate and defines a trench therein. A barrier layer and a metal layer are formed in sequence in the trench. A first planarization process is applied to the metal layer by using a first reactant so that a portion of the metal layer is removed. An etching rate of the first reactant to the metal layer is greater than that of the first reactant to the barrier layer. A second planarization process is applied to the barrier layer and the metal layer by using a second reactant so that a portion of the barrier layer and the metal layer are removed to expose the dielectric layer. An etching rate of the second reactant to the barrier layer is greater than that of the second reactant to the metal layer.
    Type: Grant
    Filed: January 24, 2011
    Date of Patent: June 24, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Ya-Hsueh Hsieh, Teng-Chun Tsai, Wen-Chin Lin, Hsin-Kuo Hsu, Ren-Peng Huang, Chih-Hsien Chen, Chih-Chin Yang, Hung-Yuan Lu, Jen-Chieh Lin, Wei-Che Tsao
  • Publication number: 20140162456
    Abstract: The present invention is directed to a method for polishing a silicon wafer, the method comprising: polishing the silicon wafer by bringing the silicon wafer into sliding contact with a polishing pad attached to a turn table while supplying a polishing agent stored in a tank to the polishing pad; and circulating the polishing agent to recover the supplied polishing agent in the tank, wherein the silicon wafer is polished while adjusting a concentration of silicate ions contained in the polishing agent in the tank to be within a predetermined range. The present invention provides a polishing agent having a high polishing rate that enables the polishing rate to be kept constant among polishing batches, and a method for polishing a silicon wafer accurately with a target polishing stock removal or a target finishing thickness by using the polishing agent.
    Type: Application
    Filed: August 13, 2012
    Publication date: June 12, 2014
    Applicant: SHIN-ETSU HANDOTAI CO., LTD.
    Inventors: Shigeru Oba, Takao Kawamata
  • Publication number: 20140154884
    Abstract: The present invention provides an erosion inhibitor for chemical mechanical polishing, which contains compound (a) having a molecular weight of not more than 100,000 and not less than 4 hydroxyl groups, and compound (b) having not less than 4 amino groups, and which has a mass ratio of the compound (a) and the compound (b) (the compound (a)/the compound (b)) of 0.10-500.
    Type: Application
    Filed: May 23, 2012
    Publication date: June 5, 2014
    Applicant: KURARAY CO., LTD.
    Inventors: Mitsuru Kato, Minori Takegoshi, Chihiro Okamoto, Shinya Kato
  • Patent number: 8735293
    Abstract: A method for chemical mechanical polishing of a substrate comprising a germanium-antimony-tellurium chalcogenide phase change alloy using a chemical mechanical polishing composition comprising water; 1 to 40 wt % colloidal silica abrasive particles having an average particle size of ?50 nm; and 0 to 5 wt % quarternary ammonium compound; wherein the chemical mechanical polishing composition is oxidizer free and chelating agent free; and, wherein the chemical mechanical polishing composition has a pH >6 to 12.
    Type: Grant
    Filed: November 5, 2008
    Date of Patent: May 27, 2014
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventor: Zhendong Liu
  • Patent number: 8734665
    Abstract: A composition and a method for chemical mechanical polishing. The composition includes a surfactant anion an alkyl alcohol, a controlled amount of chloride ion source and a diluent. The composition further includes abrasive particles and an oxidizer. The method includes providing the composition on a surface to be polished and polishing the surface by contacting the surface with a polishing pad.
    Type: Grant
    Filed: October 12, 2011
    Date of Patent: May 27, 2014
    Assignee: International Business Machines Corporation
    Inventors: Graham M. Bates, Michael T. Brigham, Joseph K. Comeau, Jason P. Ritter, Eva A. Shah, Matthew T. Tiersch, Eric J. White
  • Publication number: 20140141612
    Abstract: The polishing composition of the present invention contains an oxidizing agent and a scratch-reducing agent represented by general formula (1) or (2) below. In the formulas, X1 and X2 are each independently a hydrogen atom, a hydroxyl group, a carboxyl group, a phosphate group, an alkyl group, an aryl group, an alkyl polyamine group, an alkyl polyphosphate group, an alkyl polycarboxylate group, an alkyl polyaminopolyphosphate group, or an alkyl polyaminopolycarboxylate group.
    Type: Application
    Filed: March 28, 2012
    Publication date: May 22, 2014
    Inventors: Anne Miller, Chiaki Saito, Kanako Fukuda
  • Publication number: 20140141613
    Abstract: A process for polishing a semiconductor wafer includes simultaneous polishing of a front side and of a reverse side of a substrate wafer in the presence of polishing medium so as to achieve material removal from the front side and the reverse side of the substrate wafer. The simultaneous polishing includes a first step and a second step. A speed of material removal in the first step is higher than in the second step. The first step includes the use of a first polishing slurry as a polishing medium and the second step includes a second polishing slurry as the polishing medium. The second polishing slurry differs from the first polishing slurry at least in that the second polishing slurry comprises a polymeric additive.
    Type: Application
    Filed: November 19, 2013
    Publication date: May 22, 2014
    Applicant: Siltronic AG
    Inventors: Alexander Heilmaier, Leszek Mistur, Klaus Roettger, Makoto Tabata
  • Patent number: 8728341
    Abstract: A polishing agent of the invention comprises tetravalent metal hydroxide particles, a cationized polyvinyl alcohol, at least one type of saccharide selected from the group consisting of an amino sugar, a derivative of the amino sugar, a polysaccharide containing an amino sugar and a derivative of the polysaccharide, and water. The method for polishing a substrate of the invention comprises a step of polishing the silicon oxide film 1 (film to be polished), formed on the silicon substrate 2 having the silicon oxide film 1, by relatively moving the silicon substrate 2 and a polishing platen, in a state that the silicon oxide film 1 is pressed against a polishing pad on the polishing platen, while supplying the polishing agent of the invention between the silicon oxide film 1 and the polishing pad.
    Type: Grant
    Filed: September 14, 2010
    Date of Patent: May 20, 2014
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Daisuke Ryuzaki, Takenori Narita, Yousuke Hoshi, Tomohiro Iwano
  • Patent number: 8728942
    Abstract: Mirror-polishing a front surface of a silicon wafer using polishing liquid composed of an abrasive grain-free alkaline solution including water-soluble polymers simplifies a polishing process, thus leading to an increase in productivity and a reduction in cost, and reduces the density of LPDs attributable to processing and occurring in the front surface of a mirror-polished wafer, thus improving the surface roughness of the wafer front surface.
    Type: Grant
    Filed: August 20, 2010
    Date of Patent: May 20, 2014
    Assignee: Sumico Corporation
    Inventors: Shinichi Ogata, Kazushige Takaishi, Hironori Nishimura, Shigeru Okuuchi, Shunsuke Mikuriya, Yuichi Nakayoshi
  • Patent number: 8721917
    Abstract: Improved slurry compositions comprising a mixture of a first type of particles and a second type of abrasive particles dispersed within an aqueous medium, and abrasive slurry compositions for use chemical mechanical planarization (CMP) processes, particularly abrasive slurry compositions for polishing of sapphire. These abrasive slurry compositions comprise a mixture of a first type of abrasive particles having a hardness that is harder than the surface being polished and a second type of abrasive particles have a hardness that is softer than the surface being polished, particularly mixtures of silicon carbide abrasive particles and silica abrasive particles, dispersed within an aqueous medium.
    Type: Grant
    Filed: October 3, 2008
    Date of Patent: May 13, 2014
    Assignee: Saint-Gobain Ceramics & Plastics, Inc.
    Inventors: Isaac K. Cherian, Abhaya K. Bakshi
  • Patent number: 8703004
    Abstract: According to one embodiment, a method is disclosed for chemical planarization. The method can include forming a surface layer on a to-be-processed film having irregularity. The surface layer binds to or adsorbs onto the to-be-processed film along the irregularity to suppress dissolution of the to-be-processed film. The method can include planarizing the to-be-processed film in a processing solution dissolving the to-be-processed film, by rotating the to-be-processed film and a processing body while the to-be-processed film contacting the processing body via the surface layer, removing the surface layer on convex portions of the irregularity while leaving the surface layer on concave portions of the irregularity and making dissolution degree of the convex portions larger than dissolution degree of the concave portions.
    Type: Grant
    Filed: March 16, 2012
    Date of Patent: April 22, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yukiteru Matsui, Masako Kodera, Hiroshi Tomita, Gaku Minamihaba, Akifumi Gawase
  • Patent number: 8702472
    Abstract: A polishing composition contains at least abrasive grains and water and is used in polishing an object to be polished. The abrasive grains are selected so as to satisfy the relationship X1×Y1?0 and the relationship X2×Y2>0, where X1 [mV] represents the zeta potential of the abrasive grains measured during polishing of the object by using the polishing composition, Y1 [mV] represents the zeta potential of the object measured during polishing of the object by using the polishing composition, X2 [mV] represents the zeta potential of the abrasive grains measured during washing of the object after polishing, and Y2 [mV] represents the zeta potential of the object measured during washing of the object after polishing. The abrasive grains are preferably of silicon oxide, aluminum oxide, cerium oxide, zirconium oxide, silicon carbide, or diamond. The object is preferably of a nickel-containing alloy, silicon oxide, or aluminum oxide.
    Type: Grant
    Filed: March 8, 2011
    Date of Patent: April 22, 2014
    Assignee: Fujimi Incorporated
    Inventors: Hitoshi Morinaga, Kazusei Tamai, Hiroshi Asano
  • Publication number: 20140099790
    Abstract: Chemical-mechanical polishing (CMP) compositions containing chemical additives and methods of using the CMP compositions are disclosed. The CMP composition comprises abrasive; chemical additive; liquid carrier; optionally an oxidizing agent; a pH buffering agent and salt; a surfactant and a biocide. The CMP compositions and the methods provide enhanced removing rate for “SiC”, SiN” and “SiCxNy” films; and tunable removal selectivity for “SiC” in reference to SiO2, “SiN” in reference to SiO2, “SiC” in reference to “SiN”, or “SiCxNy” in reference to SiO2; wherein x ranges from 0.1 wt % to 55 wt %, y ranges from 0.1 wt % to 32 wt %.
    Type: Application
    Filed: March 15, 2013
    Publication date: April 10, 2014
    Applicant: Air Products and Chemicals, Inc.
    Inventors: Xiaobo Shi, James Allen Schlueter, Maitland Gary Graham, Savka I. Stoeva, James Matthew Henry
  • Patent number: 8691695
    Abstract: The present invention provides a chemical-mechanical polishing (CMP) composition suitable for polishing a silicon nitride-containing substrate while suppressing polysilicon removal from the substrate. The composition comprises abrasive particles suspended in an acidic aqueous carrier containing a surfactant comprising an alkyne-diol, an alkyne diol ethoxylate, or a combination thereof. Methods of polishing a semiconductor substrate therewith are also disclosed.
    Type: Grant
    Filed: June 18, 2010
    Date of Patent: April 8, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Kevin Moeggenborg, William Ward, Ming-Shih Tsai, Francesco De Rege Thesauro
  • Publication number: 20140094033
    Abstract: A polishing composition of the present invention contains a water-soluble polymer having a hydrophilic group, and abrasive grains. A hydrophobic silicon-containing part after being polished with the polishing composition has a water contact angle lower than that of the hydrophobic silicon-containing part after being polished with another composition having the same makeup as the polishing composition except that the water-soluble polymer is not contained therein. Examples of the water-soluble polymer include polysaccharides and alcohol compounds. Another polishing composition of the present invention contains abrasive grains having a silanol group, and a water-soluble polymer. When this polishing composition is left to stand for one day in an environment at a temperature of 25° C., the water-soluble polymer is adsorbed on the abrasive grains at 5,000 or more molecules per 1 ?m2 of surface area of the abrasive grains.
    Type: Application
    Filed: May 31, 2012
    Publication date: April 3, 2014
    Inventors: Yasuyuki Yamato, Youhei Takahashi, Tomohiko Akatsuka